Part Number Hot Search : 
BC549B PVA30 3100J PBSS4 74HC405 C1608 1N4912 HIN204CB
Product Description
Full Text Search
 

To Download MC145540 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 MOTOROLA
SEMICONDUCTOR TECHNICAL DATA
Order this document by MC145540/D
MC145540
Advance Information
MC145540 ADPCM Codec
This document contains information on a new product. Specifications and information herein are subject to change without notice.
REV 0
(c) Motorola, Inc. 1997
This page intentionally left blank.
TABLE OF CONTENTS
SECTION 1
GENERAL DESCRIPTION 1.1 1.2 INTRODUCTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . FEATURES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1 1-1
SECTION 2
DEVICE DESCRIPTION 2.1 MC145540 ADPCM CODEC DEVICE DESCRIPTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.1.1 PCM Codec-Filter Block Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.1.2 2.1.3 2.2 MC145540 2.2.1 2.2.1.1 2.2.1.2 2.2.2 2.2.2.1 2.2.2.2 2.2.2.3 2.2.2.3.1 2.2.2.3.2 2.2.2.3.3 2.2.3 2.2.4 2.2.5 2.2.6 2.2.7 2.2.7.1 2.2.7.2 2.3 2.4 ADPCM Transcoder Block Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Charge Pump . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . FUNCTIONAL DESCRIPTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Power Supply Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Analog Signal Processing Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Digital Signal Processing Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Analog Interface and Signal Path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transmit Analog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transmit Digital . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Receive . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Receive Digital . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Receive Analog Signal Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Receive Analog Output Drivers and Power Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . Sidetone . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Universal Tone Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Power Down . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Signal Processing Clock (SPC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Digital I/O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Long Frame Sync . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Short Frame Sync . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1 2-1 2-3 2-4 2-4 2-4 2-4 2-4 2-5 2-5 2-5 2-6 2-6 2-6 2-6 2-7 2-7 2-7 2-7 2-8 2-8 2-9 2-10 2-11 2-11 2-12 2-13 2-15 2-15 i
PIN ASSIGNMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIN DESCRIPTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4.1 Power Supply Pins (VSS, VEXT, VDSP, VDD, VAG, C1 -, C1 +) . . . . . . . . . . . . . . . . . . . 2.4.2 Analog Interface Pins (TG, TI -, TI +, RO, AXO -, AXO +, PI, PO -, PO +) . . . . . . . . . . . . 2.4.3 ADPCM/PCM Serial Interface (FST, BCLKT, DT, SPC, DR, BCLKR, FSR) . . . . . . . . . . 2.4.4 Serial Control Port (SCP) Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4.4.1 Byte Register Operations (PDI/RESET, SCP EN, SCP CLK, SCP Tx, SCP Rx) . . . . . . . MC145540
MOTOROLA
SECTION 3
SERIAL CONTROL PORT REGISTERS 3.1 3.2 3.3 3.4 INTRODUCTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REGISTER MAP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BIT DESCRIPTION LEGEND . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BYTE REGISTERS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.4.1 3.4.2 3.4.3 3.4.4 3.4.5 3.4.6 3.4.7 3.4.8 3.4.9 3.4.10 3.4.11 3.4.12 3.4.13 3.4.14 3.4.15 3.4.16 BR0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR11 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR12 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR13 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR14 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BR15 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1 3-1 3-1 3-3 3-3 3-4 3-5 3-6 3-6 3-7 3-8 3-9 3-10 3-10 3-10 3-11 3-11 3-11 3-11 3-11
SECTION 4
ELECTRICAL SPECIFICATIONS 4.1 4.2 4.3 4.4 4.5 4.6 4.7 4.8 4.9 4.10 MAXIMUM RATINGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . POWER SUPPLY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DIGITAL LEVELS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ANALOG ELECTRICAL CHARACTERISTICS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . POWER DRIVERS PI, PO+, PO-, AXO+, AXO- . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ANALOG ELECTRICAL CHARACTERISTICS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . POWER DRIVERS PI, PO+, PO-, AXO+, AXO- . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ANALOG TRANSMISSION PERFORMANCE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DIGITAL SWITCHING CHARACTERISTICS, LONG FRAME SYNC AND SHORT FRAME SYNC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DIGITAL SWITCHING CHARACTERISTICS -- SERIAL CONTROL PORT (SCP) . . . . . . . . . 4-1 4-1 4-2 4-2 4-3 4-4 4-5 4-6 4-7 4-10
ii
MC145540
MOTOROLA
SECTION 5
PACKAGE DIMENSIONS 5.1 CASE OUTLINES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1
SECTION 6
APPLICATION CIRCUITS 6.1 SCHEMATIC DIAGRAMS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1
SECTION 7
PCB LAYOUT GUIDELINES 7.1 7.2 7.3 INTRODUCTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PC BOARD MOUNTING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . POWER SUPPLY, GROUND, AND NOISE CONSIDERATIONS . . . . . . . . . . . . . . . . . . . . . . . . . 7-1 7-1 7-1
SECTION 8
PROGRAMMING THE MC145540 TONE GENERATORS 8.1 INTRODUCTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.1.1 8.1.2 8.1.3 8.1.4 Programing the Tone Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tone Frequency Coefficient Calculation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tone Frequency Coefficient Calculation using Integer Mathematics for Decimal to Hexadecimal Conversion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tone Attenuation Coefficient Calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-1 8-2 8-3 8-4 8-4
MOTOROLA
MC145540
iii
LIST OF FIGURES
Figure # 2-1 2-2 2-3 2-4 2-5 2-6 2-7 2-8 2-9 2-10 2-11 4-1 4-2 4-3 5-1 5-2 6-1 6-2 6-3
Title MC145540 ADPCM Codec Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Pin Assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Long Frame Sync -- 64 kbps PCM Data Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Long Frame Sync -- 32 kbps ADPCM Data Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Long Frame Sync -- 24 kbps ADPCM Data Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Long Frame Sync -- 16 kbps ADPCM Data Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Short Frame Sync -- 32 kbps ADPCM Data Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SCP Byte Register Write Operation Using Double 8-Bit Transfer . . . . . . . . . . . . . . . . . . . . . SCP Byte Register Write Operation Using Single 16-Bit Transfer . . . . . . . . . . . . . . . . . . . . SCP Byte Register Read Operation Using Double 8-Bit Transfer . . . . . . . . . . . . . . . . . . . . . SCP Byte Register Read Operation Using Single 16-Bit Transfer . . . . . . . . . . . . . . . . . . . . MC145540 Long Frame Sync Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MC145540 Short Frame Sync Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MC145540 Serial Control Port (SCP) Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Plastic DIP Dimensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Plastic SOG Dimensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MC145540 Handset Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MC145540 Transformer Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MC145540 Transformer + Speaker Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Page # 2-2 2-10 2-14 2-14 2-14 2-15 2-15 2-16 2-16 2-16 2-16 4-8 4-9 4-11 5-1 5-1 6-1 6-2 6-2
iv
MC145540
MOTOROLA
LIST OF TABLES
Figure # 2-1 2-2 3-1 3-2 3-3 3-4 3-5 3-6 3-7 3-8 3-9 8-1 8-2 8-3
Title PCM Full Scale and Zero Words . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM Codes for Digital mW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Bit Read/Write Indicator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Byte Register Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Input/Output Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Sidetone Gains . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transmit Analog Trim Gain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Receive Analog Trim Gain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Digital Receive Gain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tone Generator Address Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tone Generator Coefficients for DTMF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tone Generator Address Parameter Destinations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Frequency Coefficients for Tone Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Attenuation Coefficients for Tone Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Page # 2-8 2-8 3-1 3-2 3-3 3-4 3-4 3-5 3-6 3-7 3-8 8-2 8-6 8-34
MOTOROLA
MC145540
v
Motorola reserves the right to make changes without further notice to any products herein. Motorola makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does Motorola assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. "Typical" parameters can and do vary in different applications. All operating parameters, including "Typicals" must be validated for each customer application by customer's technical experts. Motorola does not convey any license under its patent rights nor the rights of others. Motorola products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the Motorola product could create a situation where personal injury or death may occur. Should Buyer purchase or use Motorola products for any such unintended or unauthorized application, Buyer shall indemnify and hold Motorola and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that Motorola was negligent regarding the design or manufacture of the part. Motorola and are registered trademarks of Motorola, Inc. Motorola, Inc. is an Equal Opportunity/Affirmative Action Employer.
vi
MC145540
MOTOROLA
GENERAL DESCRIPTION
1
1.1
INTRODUCTION
The MC145540 ADPCM Codec is a single chip implementation of a PCM codec-filter and an ADPCM encoder/decoder, and therefore provides an efficient solution for applications requiring the digitization and compression of voiceband signals. This device is designed to operate over a wide voltage range, 2.7 to 5.25 V, and as such is ideal for battery powered as well as ac powered applications. The MC145540 ADPCM Codec also includes a serial control port and internal control and status registers that permit a microcontroller to exercise many built-in features. The ADPCM Codec is designed to meet the 32 kbps ADPCM conformance requirements of CCITT Recommendation G.721 and ANSI T1.301. It also meets ANSI T1.303 and CCITT Recommendation G.723 for 24 kbps ADPCM operation, and the 16 kbps ADPCM standard, CCITT Recommendation G.726. This device also meets the PCM conformance specification of the CCITT G.714 Recommendation.
1.2
FEATURES
Key features of the MC145540 ADPCM Codec include: * * * * * * * * * * * * Single 2.7 to 5.25 V Power Supply Typical 3 V Power Dissipation of 55 mW, Power Down of 0. 15 mW Differential Analog Circuit Design for Lowest Noise Complete Mu-Law and A-Law Companding PCM Codec/Filter ADPCM Transcoder for 64, 32, 24, and 16 kbps data rates Universal Programmable Dual Tone Generator Programmable Transmit Gain, Receive Gain, and Sidetone Gain Low Noise, High Gain, Three Terminal Input Operational Amplifier for Microphone Interface Push-Pull 300 Power Drivers with External Gain Adjust for Receiver Interface Push-Pull 300 Auxiliary Output Drivers for Ringer Interface Voltage Regulated Charge Pump to Power the Analog Circuitry in Low Voltage Applications Receive Side Noise Burst Detect Algorithm
MOTOROLA
MC145540
1-1
1-2
MC145540
MOTOROLA
DEVICE DESCRIPTION
2
2.1
MC145540 ADPCM CODEC DEVICE DESCRIPTION
The MC145540 is a single channel Mu-Law or A-Law companding PCM codec-filter with an ADPCM encoder/decoder operating on a single voltage power supply from 2.7 to 5.25 V. The MC145540 ADPCM Codec is a complete solution for digitizing and reconstructing voice in compliance with CCITT G.714, G.721, G.723, G.726 and ANSI T1.301 and T1.303 for 64, 32, 24, and 16 kbps. This device satisfies the need for high quality, low power, low data rate voice transmission and storage applications and is offered in 28-pin DIP and SOG packages. Referring to Figure 2-1, the main functional blocks of the MC145540 are the switched capacitor technology PCM codec-filter, the DSP based ADPCM encoder/decoder, and the voltage regulated charge pump. As an introduction to the functionality of the ADPCM Codec, a basic description of these functional blocks follows.
2.1.1
PCM Codec-Filter Block Description
A PCM codec-filter is a device used for digitizing and reconstructing the human voice. These devices were developed primarily for the telephone network to facilitate voice switching and transmission. Once the voice is digitized, it may be switched by digital switching methods or transmitted long distance (T1, microwave, fiber optics, satellites, etc.) without degradation. The name codec is an acronym from "COder" for the analog-to-digital converter (ADC) used to digitize voice, and "DECoder" for the digitalto-analog converter (DAC) used for reconstructing voice. A codec is a single device that does both the ADC and DAC conversions. To digitize voice intelligibly requires a signal to distortion of about 30 dB for a dynamic range of about 40 dB. This may be accomplished with a linear 13-bit ADC and DAC, but will far exceed the required signal to distortion at amplitudes greater than 40 dB below the peak amplitude. This excess performance is at the expense of bits of data per sample. Two methods of data reduction are implemented by compressing the 13-bit linear scheme to companded 8-bit schemes. These companding schemes follow a segmented or "piecewise-linear" curve formatted as sign bit, three chord bits, and four step bits. For a given chord, all 16 of the steps have the same voltage weighting. As the voltage of the analog input increases, the four step bits increment and carry to the three chord bits, which increment. When the chord bits increment, the step bits double their voltage weighting. This results in an effective resolution of six bits (sign + chord + four step bits) across a 42 dB dynamic range (seven chords above zero, by 6 dB per chord). There are two companding schemes used: Mu-255 Law specifically in North America, and A-Law specifically in Europe. These companding schemes are accepted world wide.
MOTOROLA
MC145540
2-1
2-2
PO+ -1
ANALOG INTERFACE AND CODEC FILTER
DIGITAL SIGNAL PROCESSOR
RECEIVE DIGITAL GAIN ADPCM DECODER
ADPCM SERIAL DATA PORT
INPUT SHIFT REGISTER DR
POPI RO AXOAXO+ V AG TG TITI+ +
+ DAC
FSR LINEAR TO COMPANDED NOISE BURST DETECT CIRCUIT FSR LENGTH CIRCUITRY
Figure 2-1. MC145540 ADPCM Codec Block Diagram
BCLKR
TRIM GAIN AND FILTER
UNIVERSAL DUAL TONE GENERATOR SIDETONE GAIN COMPANDED TO LINEAR ADPCM ENCODER BCLKT FST LENGTH CIRCUITRY
2.4 VOLT REFERENCE
MC145540 MOTOROLA
FST
TRIM GAIN AND FILTER
ADC
OUTPUT SHIFT REGISTER
DT
POWER SUPPLY MANAGEMENT SUBSYSTEM
V DD 5 VOLT REGULATED CHARGE PUMP FOR CODEC FILTER ANALOG PROCESSING
3 VOLT REGULATOR FOR DIGITAL SIGNAL PROCESSOR
SERIAL CONTROL PORT
SEQUENCE AND CONTROL
SPC
C1+
C1-
VSS
V EXT
V DSP
SCP EN
SCP CLK
SCP RX
SCP TX
PDI/RESET
In a sampling environment, Nyquist theory says that to properly sample a continuous signal, it must be sampled at a frequency higher than twice the signal's highest frequency component. Voice contains spectral energy above 3 kHz, but its absence is not detrimental to intelligibility. To reduce the digital data rate, which is proportional to the sampling rate, a sample rate of 8 kHz was adopted, consistent with a bandwidth of 3 kHz. This sampling requires a low-pass filter to limit the high frequency energy above 3 kHz from distorting the inband signal. The telephone line is also subject to 50/60 Hz power line coupling, which must be attenuated from the signal by a high-pass filter before the analog-to-digital converter. The digital-to-analog conversion process reconstructs a staircase version of the desired inband signal which has spectral images of the inband signal modulated about the sample frequency and its harmonics. These spectral images are called aliasing components which need to be attenuated to obtain the desired signal. The low-pass filter used to attenuate these aliasing components is typically called a reconstruction or smoothing filter. The MC145540 ADPCM Codec incorporates this codec function as one of its main functional blocks.
2.1.2
ADPCM Transcoder Block Description
An Adaptive Differential PCM (ADPCM) transcoder is used to reduce the data rate required to transmit a PCM encoded voice signal while maintaining the voice fidelity and intelligibility of the PCM signal. The ADPCM transcoder is used on both Mu-Law and A-Law 64 kbps data streams which represent either voice or voice band data signals that have been digitized by a PCM codec-filter. The PCM to ADPCM encoder section of this transcoder has a type of linear predicting digital filter which is trying to predict the next PCM sample based on the previous history of the PCM samples. The ADPCM to PCM decoder section implements an identical linear predicting digital filter. The error or difference between the predicted and the true PCM input value is the information that is sent from the encoder to the decoder as an ADPCM word. The characteristics of this ADPCM word include the number of quantized steps (this determines the number of bits per ADPCM word) and the actual meaning of this word is a function of the predictor's output value, the error signal, and the statistics of the history of PCM words. The term "adaptive" applies to the transfer function of the filter that generates the ADPCM word which adapts to the statistics of the signals presented to it. This means that an ADPCM word `3' does not have the same absolute error voltage weighting for the analog signal when the channel is quiet as it does when the channel is processing a speech signal. The ADPCM to PCM decoder section has a reciprocating filter function which interprets the ADPCM word for proper reconstruction of the PCM sample. The adaptive characteristics of the ADPCM algorithm make it difficult to analyze and quantify the performance of the ADPCM code sequence. The 32 kbps algorithm was optimized for both voice and moderate speed modems (v4800 baud). This optimization includes that the algorithm supports the voice frequency band of 300 Hz to 3400 Hz with minimal degradation for signal-to-distortion, gainversus-level, idle channel noise and other analog transmission performance. This algorithm has also been subjected to audibility testing with many languages for Mean Opinion Score (MOS) ratings and performed well when compared to 64 kbps PCM. The standards committees have specified multiple 16000 word test vectors for the encoder and for the decoder to verify compliance. To run these test vectors, the device must be initialized to the reference state by resetting the device. In contrast to 64 kbps PCM, the ADPCM words appear as random bit activity on an oscilloscope display whether the audio channel is processing speech or a typical PCM idle channel with nominal bit activity. The ADPCM algorithm does not support dc signals with the exception of digital quiet, which will result in all ones in the ADPCM channel. All digital processing is performed on 13-bit linearizations of the 8-bit PCM companded words, whether the words are Mu-Law or A-Law. This allows an ADPCM channel to be intelligibly decoded into a Mu-Law PCM sequence or an A-Law PCM sequence irrespective of whether it was originally digitized as Mu-Law or A-Law. There will be additional quantizing degradation if the companding scheme is changed because the ADPCM algorithm is trying to reconstruct the original 13-bit linear codes, which included companding quantization.
MOTOROLA
MC145540
2-3
2.1.3
Charge Pump
The charge pump is the functional block that allows the analog signal processing circuitry of the MC145540 to operate with a power supply voltage as low as 2.7 V. This analog signal processing circuitry includes the PCM codec-filter function, the transmit trim gain, the receive trim gain, the sidetone gain control, and the transmit input operational amplifier. This circuitry does not dissipate much current but it does require a nominal voltage of 5 V for the VDD power supply. The charge pump block is a regulated voltage doubler that takes twice the current it supplies from the voltage applied to the VEXT power supply pin, which may range from 2.7 to 5.25 V and generates the required 5 V V DD supply. The charge pump block receives as inputs the VEXT supply voltage, the same 256 kHz clock that sequences the analog signal processing circuitry, and the Charge Pump Enable signal from the SCP block. It also makes use of the capacitor connected to the C1+ and C1- pins and the decoupling capacitor connected to the V DD pin.
2.2
MC145540 FUNCTIONAL DESCRIPTION
A more detailed description of the circuit functionality for the main functional blocks of the MC145540 follows.
2.2.1
2.2.1.1
Power Supply Configuration
ANALOG SIGNAL PROCESSING POWER SUPPLY All analog signal processing is powered by the VDD pin at 5 V. This voltage may be applied directly to the VDD pin or 5 V may be obtained by the on-chip 5 V regulated charge pump which is powered from the VEXT pin. The VEXT pin is the main positive power supply pin for this device. For applications that are not 5 V regulated, the on-chip 5 V regulated charge pump may be turned on and C1 will be required. VDD will require a 1.0 F decoupling capacitor to filter the voltage spikes of the charge pump. This allows the VEXT power supply to be from 2.7 to 5.25 V. This mode of operation is intended for hand held applications where three NiCad cells or three dry cells would be the power supply. The on-chip 5 V regulated charge pump is a single stage charge pump that effectively series regulates the amount of voltage it generates and internally applies this regulated voltage to the VDD pin. This 5 V voltage is developed by connecting the external 0.1 F capacitor, C1, between the VEXT power supply pin and the power supply ground pin, VSS. This puts a charge of as much as 2.7 V on C1. The charge pump circuitry then connects the negative lead of C1 to the VEXT pin, which sums the voltage of C1 with the voltage at VEXT for a minimum potential voltage of 5.4 V. The charge voltage on C1 is regulated such that the summing of voltages is regulated to 5 V. This limits all of the voltages on the device to safe levels for this IC fabrication technology. This charge pumped voltage is then stored on the 1.0 F capacitor connected at VDD and VSS, which filters and serves as a reservoir for power. The clock period for this charge pump is the same 256 kHz as the analog sequencing clock, minimizing noise problems. For applications with a regulated 5 V ( 5%) power supply, the VDD pin and the VEXT pin are connected to the 5 V power supply. These pins may share one decoupling capacitor in this configuration as a function of external noise on the power supply. The on-chip 5 V regulated charge pump should be turned off via the SCP port at register zero. The external capacitor, C1, should not be populated for these applications.
2.2.1.2
DIGITAL SIGNAL PROCESSING POWER SUPPLY This device has an on-chip series regulator which limits the voltage of the Digital Signal Processing (DSP) circuitry to about 3 V. This reduces the maximum power dissipation of this circuitry. From the VEXT power supply pin, the DSP circuitry appears as a constant current load instead of a resistive (CV2 /2) load for a constant clock frequency. This series regulator is designed to have a low drop-out voltage, which allows the DSP circuitry to work when the VEXT voltage is as low as 2.7 V. The output of this regulator is brought out to the VDSP pin for a 0.1 F decoupling capacitor. This regulator is not designed to power any loads external to the device.
2-4
MC145540
MOTOROLA
2.2.2
2.2.2.1
Analog Interface and Signal Path
TRANSMIT ANALOG The transmit analog portion of this device includes a low-noise, three terminal operational amplifier capable of driving a 2 k load. This op amp has inputs of TI+ and TI - and its output is TG. This op amp is intended to be configured in an inverting gain circuit. The analog signal may be applied directly to the TG pin if this transmit op amp is independently powered down. Power down may be achieved by connecting both the TI+ and TI - inputs to the VDD pin. The TG pin becomes high impedance when the transmit op amp is powered down. The TG pin is internally connected to a time continuous three-pole anti-aliasing pre-filter. This pre-filter incorporates a two-pole Butterworth active low-pass filter, followed by a single passive pole. This pre-filter is followed by a single-ended to differential converter that is clocked at 512 kHz. All subsequent analog processing utilizes fully differential circuitry. The output of the differential converter is followed by the transmit trim gain stage. This stage is intended to compensate for gain tolerances of external components such as microphones. The amount of gain control is 0 to 7 dB in 1 dB steps. This stage accommodates only positive gain because the maximum signal levels of the output of the input op amp are the same as the transmit filter and ADC, which should nominally be next to the clip levels of this device's circuitry. Any requirement for attenuation of the output of the input op amp would mean that it is being overdriven. The gain is programmed via the SCP port in BR1 (b2:b0). The next section is a fully-differential, 5-pole switched-capacitor low-pass filter with a 3.4 kHz frequency cutoff. After this filter is a 3-pole switched-capacitor high-pass filter having a cutoff frequency of about 200 Hz. This high-pass stage has a transmission zero at dc that eliminates any dc coming from the analog input or from accumulated op amp offsets in the preceding filter stages. (This high-pass filter may be removed from the signal path under control of the SCP port BR8 (b4).) The last stage of the high-pass filter is an autozeroed sample and hold amplifier. One bandgap voltage reference generator and digital-to-analog converter (DAC) are shared by the transmit and receive sections. The autozeroed, switched-capacitor bandgap reference generates precise positive and negative reference voltages that are virtually independent of temperature and power supply voltage. A binary-weighted capacitor array (CDAC) forms the chords of the companding structure, while a resistor string (RDAC) implements the linear steps within each chord. The encode process uses the DAC, the voltage reference, and a frame-by-frame autozeroed comparator to implement a successive-approximation analog-to-digital conversion (ADC) algorithm. All of the analog circuitry involved in the data conversion (the voltage reference, RDAC, CDAC, and comparator) are implemented with a differential architecture. The nonlinear companded Mu-Law transfer curve of the ADC may be changed to 8-bit linear by BR8 (b5). The input to the ADC is normally connected to the output of the transmit filter section, but may be switched to measure the voltage at the VEXT pin for battery voltage monitoring. This is selected by the I/O Mode in BR0 (b4:b3). In this mode, the ADC is programmed to output a linear 8-bit PCM word for the voltage at VEXT which is intended to be read in BR9 (b7:b0). The data format for the ADC output is a Don't Care for the sign bit and seven magnitude bits. The scaling for the ADC is for 6.3 V at VEXT equals full scale (BIN X111 1111). The ADPCM algorithm does not support dc signals.
2.2.2.2
TRANSMIT DIGITAL The Digital Signal Processor (DSP) section of this device is a custom designed, interrupt driven, microcoded machine optimized for implementing the ADPCM algorithms. In the full duplex speech mode, the DSP services one encode interrupt and one decode interrupt per frame (125 s). The encode algorithm (i.e., 16 kbps, 24 kbps, or 32 kbps ADPCM, or 64 kbps PCM) is determined by the length of the transmit output enable at the FST pin. The length of the FST enable measured in transmit data clock (BCLKT) cycles tells the device which encoding rate to use. This enable length information is used by the encoder each frame. The transmit ADPCM word corresponding to this request will be computed during the next frame and will be available a total of two frames after being requested. This transmit enable length information can be delayed by the device an additional four frames corresponding to a total of six frames. These six frames of delay allow the device to be clocked with the same clocks for both transmit (encode) and receive (decode), and to be frame aligned for applications that require every sixth frame signaling. It is important to note that the enable length information is delayed and not the actual ADPCM
MOTOROLA
MC145540
2-5
(PCM) sample word. The amount of delay for the FST enable length is controlled in BR7 (b5). If the FST enable goes low before the falling edge of BCLKT during the last bit of the ADPCM word, the digital data output circuitry counts BCLKT cycles to keep the data output (DT pin) low impedance for the duration of the ADPCM data word (2, 3, 4, or 8 BCLKT cycles) minus one half of a BCLKT cycle. 2.2.2.3 2.2.2.3.1 RECEIVE Receive Digital The receive digital section of this device accepts serial ADPCM (PCM) words at the DR pin under the control of the BCLKR and FSR pins. The FSR enable duration, measured in BCLKR cycles, tells the device which decode algorithm (i.e., 16 kbps, 24 kbps, or 32 kbps ADPCM, or 64 kbps PCM) the DSP machine should use for the word that is being received at the DR pin. This algorithm may be changed on a frame by frame basis. The DSP machine receives an interrupt when an ADPCM word has been received and is waiting to be decoded into a PCM word. The DSP machine performs a decode and an encode every frame when the device is operating in its full duplex conversation mode. The DSP machine decodes the ADPCM word according to CCITT G.726 for 32 kbps, 24 kbps, and 16 kbps. This decoding includes the correction for the CCITT/ANSI Sync function, except when the receive digital gain is used. The receive digital gain is anticipated to be user adjustable gain control in handset applications where as much as 12 dB of gain or more than 12 dB of attenuation may be desirable. The receive digital gain is a linear multiply performed on the 13-bit linear data before it is converted to Mu-Law or A-Law, and is programmed via the SCP port in BR3 (b7:b0). The decoded PCM word may be read via the SCP port in BR10 (b7:b0). 2.2.2.3.2 Receive Analog Signal Processing The receive analog signal processing section includes the DAC described above, a sample and hold amplifier, a trim gain stage, a 5-pole 3400 Hz switched capacitor low-pass filter with sinX/X correction, and a 2-pole active smoothing filter to reduce the spectral components of the switched capacitor filter. (The receive low-pass smoothing filter may be removed from the signal path for the additional spectral components for applications using the on-chip tone generator function described below. This low-pass filter performs the sinX/X compensation. The receive filter is removed from the circuit via the SCP in BR2(b4).) The input to the smoothing filter is the output to the receive trim gain stage. This stage is intended to compensate for gain tolerances of external components such as handset receivers. This stage is capable of 0 to 7 dB of attenuation in 1 dB steps. This stage accommodates only attenuation because the nominal signal levels of the DAC should be next to the clip levels of this device's circuitry and any positive gain would overdrive the outputs. The gain is programmed via the SCP port in BR2 (b2:b0).The output of the 2-pole active smoothing filter is buffered by an amplifier which is output at the RO pin. This output is capable of driving a 2 k load to the VAG pin. 2.2.2.3.3 Receive Analog Output Drivers and Power Supply The high current analog output circuitry (PO +, PO -, PI, AXO +, AXO -) is powered by the VEXT power supply pin. Due to the wide range of VEXT operating voltages for this device, this circuitry and the RO pin have a programmable reference point of either VAG (2.4 V) or VEXT /2. In applications where this device is powered with 5 V, it is recommended that the dc reference for this circuitry be programmed to VAG. This allows maximum output signals for driving high power telephone line transformer interfaces and loud speaker/ringers. For applications that are battery powered, VAG will still be 2.4 V, but the receive analog output circuitry will be powered from as low as 2.7 V. To optimize the output power, this circuitry should be referenced to one half of the battery voltage, VEXT /2. The RO pin is powered by the VDD pin, but its dc reference point is programmed the same as the high current analog output circuitry. This device has two pairs of power amplifiers that are connected in a push-pull configuration. These push-pull power driver pairs have similar drive capabilities, but have different circuit configurations and different intended uses. The PO + and PO - power drivers are intended to accommodate large gain ranges with precise adjustment by two external resistors for applications such as driving a telephone line or a handset receiver. The PI pin is the inverting input to the PO - power amplifier. The non-inverting input is internally tied to the same reference as the RO output. This allows this amplifier to be used in an inverting gain circuit with two external resistors. The PO + amplifier has a gain of -1, and is internally connected to the PO - output. This complete power amplifier circuit is a differential (push-pull) amplifier 2-6 MC145540 MOTOROLA
with adjustable gain which is capable of driving a 300 load to +12 dBm when VEXT is 5 V. The PO + and PO - outputs are intended to drive loads differentially and not to VSS or VAG. The PO + and PO - power amplifiers may be powered down independently of the rest of the chip by connecting the PI pin to VDD or in BR2 (b5). The other paired power driver outputs are the AXO + and AXO - Auxiliary outputs. These push-pull output amplifiers are intended to drive a ringer or loud speaker with impedance as low as 300 to +12 dBm when VEXT is 5 V. The AXO + and AXO - outputs are intended to drive loads differentially and not to VSS or VAG. The AXO + and AXO - power amplifiers may be powered down independently of the rest of the chip via the SCP port in BR2 (b6).
2.2.3
Sidetone
The Sidetone function of this device allows a controlled amount of the output from the transmit filter to be summed with the output of the DAC at the input to the receive low-pass filter. The sidetone component has gains of -8.5 dB, -10.5 dB, -12.0 dB, -13.5 dB, -15.0 dB, -18.0 dB, -21.5 dB, and dB. The sidetone function is controlled by the SCP port in BR1 (b6:b4).
v-70
2.2.4
Universal Tone Generator
The Universal Dual Tone Generator function supports both the transmit and the receive sides of this device. When the tone generator is being used, the decoder function of the DSP circuit is disabled. The output of the tone generator is made available to the input of the receive digital gain function for use at the receive analog outputs. In handset applications, this could be used for generating DTMF, distinctive ringing or call progress feedback signals. In telephone line interface applications, this tone generator could be used for signaling on the line. The tone generator output is also available for the input to the encoder function of the DSP machine for outputting at the DT pin. This function is useful in handset applications for non-network signaling such as information services, answering machine control, etc. At the network interface side of a cordless telephone application, this function could be used for dialing feedback or call progress to the handset. The tone generator function is controlled by the SCP port in BR4, BR5, and BR7. The tone generator does not work when the device is operated in 64 kbps mode, except when analog loopback is enabled at BR0 (b5). For more information on programming the tone generators, see Section 8.
2.2.5
Power Down
There are two methods of putting all of this device into a low power consumption mode that makes the device nonfunctional and consumes virtually no power. PDI/RESET is the power down input and reset pin which, when taken low for 10 SPC clock cycles or more, powers down the device. Another way to power the device down is by the SCP port at BR0. BR0 allows the analog section of this device to be powered down individually and/or the digital section of this device to be powered down individually. When the chip is powered down, the VAG, TG, RO, PO +, PO -, AXO +, AXO -, DT, and SCP Tx outputs are high impedance . To return the chip to the power up state, PDI/RESET must be high and the SPC clock and the FST or the FSR frame sync pulses must be present. The ADPCM algorithm is reset to the CCITT initial state following the reset transition from low-to-high logic states. The DT output will remain in a high-impedance state for at least two FST pulses after power up.
2.2.6
Signal Processing Clock (SPC)
This is the clock that sequences the DSP circuit. This clock may be asynchronous to all other functions of this device. Clock frequencies of 20.48 MHz or 20.736 MHz are recommended. This clock is also used to drive a digitally phase locked prescaler that is referenced to FST (8 kHz) and automatically determines the proper divide ratio to use for achieving the required 256 kHz internal sequencing clock for all analog signal processing, including analog-to-digital conversion, digital-to-analog conversion, transmit filtering, receive filtering, and analog gain functions of this device and the charge pump. The SPC input accepts an input clock frequency from 20.48 to 23.04 MHz. This clock frequency should be a multiple of 256 kHz within a tolerance of 10 SPC clock cycles per FST rising edge. For an FST of 8 kHz without jitter, this equates to a tolerance of 80 kHz. The total tolerance is measured in SPC
MOTOROLA
MC145540
2-7
cycles per FST rising edge. If FST has jitter, the jitter must be measured in SPC clock cycles which will be subtracted from 10, and the frequency tolerance for SPC should be tightened accordingly. (The SPC clock may be optionally specified for higher frequencies. Contact the factory for more information.) The analog sequencing function of the SPC clock may be eliminated by reprogramming the device to use the BCLKR pin as the direct input for the required 256 kHz analog sequencing clock. The 256 kHz clock applied at BCLKR must be an integer 32 times the FST 8 kHz clock and be approximately rising edge aligned with the FST rising edge. This mode requires that the transmit and receive ADPCM transfers be controlled by the BCLKT pin. This is reprogrammed via the SCP port in BR0 (b7).
2.2.7
Digital I/O
The MC145540 is programmable for Mu-Law or A-Law. The timing for the PCM data transfer is independent of the companding scheme selected. Table 2-1 shows the 8-bit data word format for positive and negative zero and full scale for both 64 kbps companding schemes. Refer to Section 2.4.3, Figures 2-3 through 2-7, for a summary and comparison of the five PCM data interface modes of this device.
2.2.7.1
LONG FRAME SYNC Long Frame Sync is the industry name for one type of clocking format which controls the transfer of the ADPCM or PCM data words. Refer to Section 2.4.3, Figures 2-3 through 2-6. The "Frame Sync" or "Enable" is used for two specific synchronizing functions. The first is to synchronize the PCM data word transfer, and the second is to control the internal analog-to-digital and digital-to-analog conversions. The term "Sync" refers to the function of synchronizing the PCM data word onto or off of the multiplexed serial PCM data bus, also known as a PCM highway. The term "Long" comes from the duration of the frame sync measured in PCM data clock cycles. Long Frame Sync timing occurs when the frame sync is used directly as the PCM data output driver enable. This results in the PCM output going low impedance with the rising edge of the transmit frame sync, and remaining low impedance for the duration of the transmit frame sync.
Table 2-1. PCM Full Scale and Zero Words
Mu-Law Level + Full Scale + Zero - Zero - Full Scale Sign Bit 1 1 0 0 Chord Bits 000 111 111 000 Step Bits 0000 1111 1111 0000 Sign Bit 1 1 0 0 A-Law Chord Bits 010 101 101 010 Step Bits 1010 0101 0101 1010
Table 2-2. PCM Codes for Digital mW
Mu-Law Phase /8 3/8 5/8 7/8 9/8 11/8 13/8 15/8 Sign Bit 0 0 0 0 1 1 1 1 Chord Bits 001 000 000 001 001 000 000 001 Step Bits 1110 1011 1011 1110 1110 1011 1011 1110 Sign Bit 0 0 0 0 1 1 1 1 A-Law Chord Bits 011 010 010 011 011 010 010 011 Step Bits 0100 0001 0001 0100 0100 0001 0001 0100
2-8
MC145540
MOTOROLA
The implementation of Long Frame Sync for this device has maintained industry compatibility and been optimized for external clocking simplicity. The PCM data output goes low impedance with the rising edge of the FST pin but the MSB of the data is clocked out due to the logical AND of the transmit frame sync (FST pin) with the transmit data clock (BCLKT pin). This allows either the rising edge of the FST enable or the rising edge of the BCLKT data clock to be first. This implementation includes the PCM data output remaining low impedance until the middle of the LSB (seven and a half data clock cycles for 64 kbps PCM, three and a half data clock cycles for 32 kbps ADPCM, etc.). This allows the frame sync to be approximately rising edge aligned with the initiation of the PCM data word transfer but the frame sync does not have a precise timing requirement for the end of the PCM data word transfer. This prevents bus contention between similar devices on a common bus. The device recognizes Long Frame Sync clocking when the frame sync is held high for two consecutive falling edges of the transmit data clock. In the full duplex speech mode, the DSP services one encode interrupt and one decode interrupt per frame (125 s). The encode algorithm (i.e., 16 kbps, 24 kbps, or 32 kbps ADPCM, or 64 kbps PCM) is determined by the length of the transmit output enable at the FST pin. The length of the FST enable measured in transmit data clock (BCLKT) cycles tells the device which encoding rate to use. This enable length information is used by the encoder each frame. The transmit ADPCM word corresponding to this request will be computed during the next frame and be available a total of two frames after being requested. This transmit enable length information can be delayed by the device an additional four frames corresponding to a total of six frames. This six frames of delay allows the device to be clocked with the same clocks for both transmit (encode) and receive (decode), and to be frame aligned for applications that require every sixth frame signaling. It is important to note that the enable length information is delayed and not the actual ADPCM (PCM) sample word. The amount of delay for the FST enable length is controlled by the SCP port at BR7 (b5). The digital data output circuitry counts BCLKT cycles to keep the data output (DT pin) low impedance for the duration of the ADPCM data word (2, 3, 4, or 8 BCLKT cycles) minus one half of a BCLKT cycle. The length of the FST enable tells the DSP what encoding algorithm to use. The transmit logic decides on each frame sync whether it should interpret the next frame sync pulse as a Long or a Short Frame Sync. The device is designed to prevent PCM bus contention by not allowing the PCM data output to go low impedance for at least two frame sync cycles after power is applied or when coming out of the power-down mode. The receive side of the device is designed to accept the same frame sync and data clock as the transmit side and to be able to latch its own transmit PCM data word. Thus the PCM digital switch only needs to be able to generate one type of frame sync for use by both transmit or receive sections of the device. The logical AND of the receive frame sync with the receive data clock tells the device to start latching the serial word into the receive data input on the falling edges of the receive data clock. The internal receive logic counts the receive data clock falling edges while the FSR enable is high and transfers the enable length and the PCM data word into internal registers for access by the DSP machine which also sets the DSP's decoder interrupt. The receive digital section of this device accepts serial ADPCM (PCM) words at the DR pin under the control of the BCLKR and FSR pins. The FSR enable duration measured in BCLKR cycles, tells the device which decode algorithm (i.e., 16 kbps, 24 kbps, or 32 kbps ADPCM, or 64 kbps PCM) the DSP machine should use for the word that is being received at the DR pin. This algorithm may be changed on a frame by frame basis. When the device is programmed to be in the PCM Codec mode by BR0 (4:3), the device will output and input the complete 8-bit PCM words using the long frame sync clocking format as though the FST and FSR pulses were held high for eight data clock cycles. The DSP machine receives an interrupt when an ADPCM word has been received and is waiting to be decoded into a PCM word. The DSP machine performs a decode and an encode every frame when the device is operating in its full duplex conversation mode. The DSP machine decodes the ADPCM word according to CCITT G.726 for 32 kbps, 24 kbps, and 16 kbps.
MOTOROLA
MC145540
2-9
2.2.7.2
SHORT FRAME SYNC Short Frame Sync is the industry name for this type of clocking format which controls the transfer of the ADPCM data words. Refer to Section 2.4.3, Figure 2-7. This device uses Short Frame Sync timing for 32 kbps ADPCM only. The "Frame Sync" or "Enable" is used for two specific synchronizing functions. The first is to synchronize the ADPCM data word transfer, and the second is to control the internal analog-to-digital and digital-to-analog conversions. The term "Sync" refers to the function of synchronizing the ADPCM data word onto or off of the multiplexed serial ADPCM data bus, also known as a PCM highway. The term "Short" comes from the duration of the frame sync measured in PCM data clock cycles. Short Frame Sync timing occurs when the frame sync is used as a "pre-synchronization" pulse that is used to tell the internal logic to clock out the ADPCM data word under complete control of the data clock. The Short Frame Sync is held high for one falling data clock edge. The device outputs the ADPCM data word beginning with the following rising edge of the data clock. This results in the ADPCM output going low impedance with the rising edge of the transmit data clock, and remaining low impedance until the middle of the LSB (three and a half PCM data clock cycles). The device recognizes Short Frame Sync clocking when the frame sync is held high for one and only one falling edge of the transmit data clock. The transmit logic decides on each frame sync whether it should interpret the next frame sync pulse as a Long or a Short Frame Sync. It is not recommended to switch between Long Frame Sync and Short Frame Sync clocking without going through a power down cycle due to bus contention problems. The device is designed to prevent PCM bus contention by not allowing the ADPCM data output to go low impedance for at least two frame sync cycles after power is applied or when coming out of a power-down mode. The receive side of the device is designed to accept the same frame sync and data clock as the transmit side and to be able to latch its own transmit ADPCM data word. Thus the PCM digital switch only needs to be able to generate one type of frame sync for use by both transmit or receive sections of the device. The falling edge of the receive data clock (BCLKR) latching a high logic level at the receive frame sync (FSR) input tells the device to start latching the 4-bit ADPCM serial word into the receive data input on the following four falling edges of the receive data clock. The internal receive logic counts the receive data clock cycles and transfers the ADPCM data word to a register for access by the DSP. When the device is programmed to be in the PCM Codec mode by BR0 (4:3), the device will output the complete 8-bit PCM word using the short frame sync clocking format. The 8-bit PCM word will be clocked out (or in) the same way that the 4-bit ADPCM word would be, except that the fourth bit will be valid for the full BCLKT period and the eighth bit will be valid for only one half of the BCLKT period.
2.3
PIN ASSIGNMENT
The pin assignments for the MC145540 28-lead DIP and SOG packages are shown in Figure 2-2.
TG TI TI+ VAG RO AXO AXO + VDSP VEXT PI PO PO + PDI/RESET SCP EN 1 2 3 4 5 6 7 8 9 10 11 12 13 14
*
28 27 26 25 24 23 22 21 20 19 18 17 16 15
VDD FSR BCLKR DR C1+ C1VSS SPC DT BCLKT FST SCP Rx SCP Tx SCP CLK
28 1 28 1
MC145540P 28-LEAD PLASTIC DIP CASE 710
MC145540DW 28-LEAD WIDE BODY SOG CASE 751F
(TOP VIEW)
Figure 2-2. Pin Assignments 2-10 MC145540 MOTOROLA
2.4
PIN DESCRIPTIONS
The pin descriptions are listed in functional groups and provide detailed information about the particular subsystem of the device and the associated pins.
2.4.1
Power Supply Pins
VSS Negative Power Supply (Pin 22) This is the most negative power supply and is typically connected to 0 V. VEXT External Power Supply Input (Pin 9) This power supply input pin must be between 2.7 and 5.25 V. Internally, it is connected to the input of the VDSP voltage regulator, the 5 V regulated charge pump, and all digital I/O including the Serial Control Port and the ADPCM Serial Data Port. This pin is also connected to the analog output drivers (PO +, PO-, AXO + and AXO -). This pin should be decoupled to VSS with a 0.1 F ceramic capacitor. This pin is internally connected to the VDD and VDSP pins when the device is powered down. VDSP Digital Signal Processor Power Supply Output (Pin 8) This pin is connected to the output of the on-chip VDSP voltage regulator which supplies the positive voltage to the DSP circuitry and to the other digital blocks of the ADPCM Codec. This pin should be decoupled to VSS with a 0.1 F ceramic capacitor. This pin cannot be used for powering external loads. This pin is internally connected to the VEXT pin during power down to retain memory. VDD Positive Power Supply Input/Output (Pin 28) This is the positive output of the on-chip voltage regulated charge pump and the positive power supply input to the analog sections of the device. Depending on the supply voltage available, this pin can function in one of two different operating modes. When VEXT is supplied from a regulated 5 V 5% power supply, VDD is an input and should be externally connected to VEXT. Charge pump capacitor C1 should not be used and the charge pump should be disabled in BR0 (b2). In this case VEXT and VDD can share the same 0.1 F ceramic decoupling capacitor to VSS. When VEXT is supplied from 2.70 to 5.25 V, such as battery powered applications, the charge pump should be used. In this case VDD is the output of the on-chip voltage regulated charge pump and must not be connected to VEXT. VDD should be decoupled to VSS with a 1.0 F ceramic capacitor. This pin cannot be used for powering external loads in this operating mode. This pin is internally connected to the VEXT pin when the charge pump is turned off or the device is powered down. VAG Analog Ground Output (Pin 4) This output pin provides a mid-supply analog ground regulated to 2.4 V. All analog signal processing within this device is referenced to this pin. This pin should be decoupled to VSS with a 0.01 to 0.1 F ceramic capacitor. If the audio signals to be processed are referenced to VSS, then special precautions must be utilized to avoid noise between VSS and the VAG pin. Refer to the applications information in this document for more information. The VAG pin becomes high impedance when in analog power-down mode. C1-, C1+ Charge Pump Capacitor Pins (Pin 23 and 24) These are the capacitor connections to the internal voltage regulated charge pump that generate the VDD supply voltage. A 0.1 F capacitor should be placed between these pins. Note that if an external VDD is supplied, this capacitor should not be in the circuit.
MOTOROLA
MC145540
2-11
2.4.2
Analog Interface Pins
TG Transmit Gain (Pin 1) This is the output of the transmit gain setting operational amplifier and the input to the transmit bandpass filter. This op amp is capable of driving a 2 k load to the VAG pin. When TI - and TI+ are connected to VDD, the TG op amp is powered down and the TG pin becomes a high-impedance input to the transmit filter. All signals at this pin are referenced to the VAG pin. This pin is high impedance when the device is in the analog power-down mode. This op amp is powered by the VDD pin. TI - Transmit Analog Input (Inverting) (Pin 2) This is the inverting input of the transmit gain setting operational amplifier. Gain setting resistors are usually connected from this pin to TG and from this pin to the analog signal source. The common mode range of the TI + and TI- pins is from 1.0 V, to VDD - 2 V. Connecting this pin and TI + (pin 3) to VDD will place this amplifier's output (TG) in a high-impedance state, thus allowing the TG pin to serve as a high-impedance input to the transmit filter. TI+ Transmit Analog Input (Non-Inverting) (Pin 3) This is the non-inverting input of the transmit input gain setting operational amplifier. This pin accommodates a differential to single ended circuit for the input gain setting op amp. This allows input signals that are referenced to the VSS pin to be level shifted to the VAG pin with minimum noise. This pin may be connected to the VAG pin for an inverting amplifier configuration if the input signal is already referenced to the VAG pin. The common mode range of the TI+ and TI - pins is from 1.0 V, to VDD - 2 V. Connecting this pin and TI - (pin 2) to VDD will place this amplifier's output (TG) in a high-impedance state, thus allowing the TG pin to serve as a high-impedance input to the transmit filter. RO Receive Analog Output (Pin 5) This is the non-inverting output of the receive smoothing filter from the digital-to-analog converter. This output is capable of driving a 2 k load to 1.575 V peak referenced to the VAG pin. This pin may be dc referenced to either the VAG pin or a voltage of half of VEXT by BR2 (b7). This pin is high impedance when the device is in the analog power-down mode. This pin is high impedance except when it is enabled for analog signal output. AXO- Auxiliary Audio Power Output (Inverting) (Pin 6) This is the inverting output of the auxiliary power output drivers. The Auxiliary Power Driver is capable of differentially driving a 300 load. This power amplifier is powered from VEXT and its output can swing to within 0.5 V of VSS and VEXT. This pin may be dc referenced to either the VAG pin or a voltage of half of VEXT by BR2 (b7). This pin is high impedance in power down. This pin is high impedance except when it is enabled for analog signal output. AXO+ Auxiliary Audio Power Output (Non-Inverting) (Pin 7) This is the non-inverting output of the auxiliary power output drivers. The Auxiliary Power Driver is capable of differentially driving a 300 load. This power amplifier is powered from VEXT and its output can swing to within 0.5 V of VSS and VEXT. This pin may be dc referenced to either the VAG pin or a voltage of half of VEXT by BR2 (b7). This pin is high impedance in power down. This pin is high impedance except when it is enabled for analog signal output. PI Power Amplifier Input (Pin 10) This is the inverting input to the PO- amplifier. The non-inverting input to the PO - amplifier may be dc referenced to either the VAG pin or a voltage of half of VEXT by BR2 (b7). The PI and PO - pins are used with external resistors in an inverting op amp gain circuit to set the gain of the PO + and PO - push-pull
2-12
MC145540
MOTOROLA
power amplifier outputs. Connecting PI to VDD will power down these amplifiers and the PO + and PO - outputs will be high impedance. PO- Power Amplifier Output (Inverting) (Pin 11) This is the inverting power amplifier output that is used to provide a feedback signal to the PI pin to set the gain of the push-pull power amplifier outputs. This power amplifier is powered from VEXT and its output can swing to within 0.5 V of VSS and VEXT. This should be noted when setting the gain of this amplifier. This pin is capable of driving a 300 load to PO + independent of supply voltage. The PO + and PO - outputs are differential (push-pull) and capable of driving a 300 load to 3.15 V peak, which is 6.3 V peak-to-peak when a nominal 5 V power supply is used for VEXT. The bias voltage and signal reference for this pin may be dc referenced to either the VAG pin or a voltage of half of VEXT by BR2 (b7). Low impedance loads must be between PO + and PO -. This pin is high impedance when the device is in the analog power-down mode. This pin is high impedance except when it is enabled for analog signal output. PO+ Power Amplifier Output (Non-Inverting) (Pin 12) This is the non-inverting power amplifier output that is an inverted version of the signal at PO -. This power amplifier is powered from VEXT and its output can swing to within 0.5 V of VSS and VEXT. This pin is capable of driving a 300 load to PO -. This pin may be dc referenced to either the VAG pin or a voltage of half of VEXT by BR2 (b7). This pin is high impedance when the device is in the analog powerdown mode. This pin is high impedance except when it is enabled for analog signal output. See PI and PO- for more information.
2.4.3
ADPCM/PCM Serial Interface
FST Frame Sync, Transmit (Pin 18) When used in the Long Frame Sync or Short Frame Sync mode, this pin accepts an 8 kHz clock that synchronizes the output of the serial ADPCM data at the DT pin. BCLKT Bit Clock, Transmit (Pin 19) When used in the Long Frame Sync or Short Frame Sync mode, this pin accepts any bit clock frequency from 64 to 5120 kHz. DT Data, Transmit (Pin 20) This pin is controlled by FST and BCLKT and is high-impedance except when outputting data. SPC Signal Processor Clock (Pin 21) This input accepts a clock frequency from 20.48 to 23.04 MHz that is used as the DSP engine master clock. Internally the device divides down this clock to generate the 256 kHz clock required by the PCM Codec. See Section 2.2.6 for additional information. (This clock may be optionally specified for higher frequencies. Contact the factory for more information.) DR Data, Receive (Pin 25) ADPCM data to be decoded are applied to this input, which operates synchronously with FSR and BCLKR to enter the data in a serial format.
MOTOROLA
MC145540
2-13
BCLKR Bit Clock, Receive (Pin 26) When used in the Long Frame Sync or Short Frame Sync mode, this pin accepts any bit clock frequency from 64 to 5120 kHz. This pin may be used for applying an external 256 kHz clock for sequencing the analog signal processing functions of this device. This is selected by the SCP port at BR0 (b7). FSR Frame Sync, Receive (Pin 27) When used in the Long Frame Sync or Short Frame Sync mode, this pin accepts an 8 kHz clock that synchronizes the input of the serial ADPCM data at the DR pin. FSR can operate asynchronous to FST in the Long Frame Sync or Short Frame Sync mode.
FST (FSR)
BCLKT (BCLKR)
DT
1
2
3
4
5
6
7
8
DR
DON'T CARE
1
2
3
4
5
6
7
8
DON'T CARE
Figure 2-3. Long Frame Sync -- 64 kbps PCM Data Timing
FST (FSR)
BCLKT (BCLKR)
DT
1
2
3
4
DR
DON'T CARE
1
2
3
4
DON'T CARE
Figure 2-4. Long Frame Sync -- 32 kbps ADPCM Data Timing
FST (FSR)
BCLKT (BCLKR)
DT
1
2
3
DR
DON'T CARE
1
2
3
DON'T CARE
Figure 2-5. Long Frame Sync -- 24 kbps ADPCM Data Timing
2-14
MC145540
MOTOROLA
FST (FSR)
BCLKT (BCLKR)
DT
1
2
DR
DON'T CARE
1
2
DON'T CARE
Figure 2-6. Long Frame Sync -- 16 kbps ADPCM Data Timing
FST (FSR) BCLKT (BCLKR)
DT
1
2
3
4
DR
DON'T CARE
1
2
3
4
DON'T CARE
Figure 2-7. Short Frame Sync -- 32 kbps ADPCM Data Timing
2.4.4
Serial Control Port (SCP) Interface
The MC145540 is equipped with an industry standard Serial Control Port Interface. The Serial Control Port (SCP) is used by an external controller, such as an M68HC05 family microcontroller, to communicate with the MC145540 ADPCM Codec. The SCP is a full-duplex four-wire interface used to pass control and status information to and from the ADPCM Codec. The Serial Control Port Interface consists of a transmit output, a receive input, a data clock, and an enable signal. These device pins are known as SCP Tx, SCP Rx, SCP CLK, and SCP EN, respectively. The SCP Clock determines the rate of exchange of data in both the transmit and receive directions, and the SCP Enable signal governs when this exchange is to take place. The operation and configuration of the ADPCM Codec is controlled by setting the state of the control and status registers within the MC145540 and then monitoring these control and status registers. The control and status registers reside in sixteen 8-bit wide Byte Registers, BR0-BR15. A complete register map and detailed register descriptions can be found in Section 3.
2.4.4.1
BYTE REGISTER OPERATIONS The 16 Byte Registers are addressed by addressing a 4-bit byte register address (A3:A0) as shown in Figures 2-8 and 2-9. A second 8-bit operation transfers the data word (D7:D0). Alternatively, these registers can be accessed with a single 16-bit operation as shown in Figures 2-10 and 2-11. PDI/RESET Power Down Input/Reset (Pin 13) A logic 0 applied to this input forces the device into a low power dissipation mode. A rising edge on this pin causes power to be restored and the ADPCM RESET state (specified in the standards) to be forced. See Section 2.2.5 for additional information.
MOTOROLA
MC145540
2-15
SCP EN
SCP CLK
DON'T CARE
SCP Rx
R/W
A3
A2
A1
A0
DON'T CARE
D7
D6
D5
D4
D3
D2
D1
D0
SCP Tx
HIGH IMPEDANCE
Figure 2-8. SCP Byte Register Write Operation Using Double 8-Bit Transfer
SCP EN
SCP CLK
SCP Rx
R/W
A3
A2
A1
A0
SCP Tx
HIGH IMPEDANCE
Figure 2-9. SCP Byte Register Read Operation Using Double 8-Bit Transfer
SCP EN
SCP CLK
SCP Rx
R/W
A3
A2
A1
A0
SCP Tx
HIGH IMPEDANCE
Figure 2-10. SCP Byte Register Write Operation Using Single 16-Bit Transfer
SCP EN
SCP CLK
SCP Rx
R/W
A3
A2
A1
A0
SCP Tx
HIGH IMPEDANCE
Figure 2-11. SCP Byte Register Read Operation Using Single 16-Bit Transfer
2-16
MC145540
CCCCCCCCCCCCCCCC C CCCCC CCCCCCCCCCCCCCCC CC CCC CCCCC
DON'T CARE
DON'T CARE
D7
D6
D5
D4
D3
D2
D1
D0
D7
D6
D5
D4
D3
D2
D1
D0
DON'T CARE
D7
D6
D5
D4
D3
D2
D1
D0
CCCCCCC CCCCCCC CCCCCCC CCCCCCC
DON'T CARE
DON'T CARE
DON'T CARE
MOTOROLA
CCC CCC CCC CCC CCC
CCCCCCCCCCCCCCC C CCCCCCCCCCCCCCC CCCCC CCCCCC
CCCCC CCCCC CCCCC CCCCC CCCCC
CCC CCC CCC CCC CCC CCC CCC CCC CCC CC CC CC CC CC CC CC CC
SCP EN Serial Control Port Enable Input (Pin 14) This pin, when held low, selects the Serial Control Port (SCP) for the transfer of control and status information into and out of the MC145540 ADPCM Codec. This pin should be held low for a total of 16 periods of the SCP CLK signal in order for information to be transferred into or out of the MC145540 ADPCM Codec. The timing relationship between SCP EN and SCP CLK is shown in Figures 2-8 through 2-11. SCP CLK Serial Control Port Clock Input (Pin 15) This input to the device is used for controlling the rate of transfer of data into and out of the SCP Interface. Data are clocked into the MC145540 ADPCM Codec from SCP Rx on rising edges of SCP CLK. Data are shifted out of the device on SCP Tx on falling edges of SCP CLK. SCP CLK can be any frequency from 0 to 4.096 MHz. An SCP transaction takes place when SCP EN is brought low. Note that SCP CLK is ignored when SCP EN is high (i.e., it may be continuous or it can operate in a burst mode). SCP Tx Serial Control Port Transmit Output (Pin 16) SCP Tx is used to output control and status information from the MC145540 ADPCM Codec. Data are shifted out of SCP Tx on the falling edges of SCP CLK, most significant bit first. SCP Rx Serial Control Port Receive Input (Pin 17) SCP Rx is used to input control and status information to the MC145540 ADPCM Codec. Data are shifted into the device on rising edges of SCP CLK. SCP Rx is ignored when data are being shifted out of SCP Tx or when SCP EN is high.
MOTOROLA
MC145540
2-17
2-18
MC145540
MOTOROLA
SERIAL CONTROL PORT REGISTERS
3
3.1
INTRODUCTION
This section describes all of the MC145540 ADPCM Codec control and status registers available via the Serial Control Port (SCP) Interface. A Register Map is given in Table 3-2. Each register is then described in detail.
3.2
REGISTER MAP
The SCP register map consists of 16 byte registers. Registers BR0-BR5 and BR7-BR10 provide external control of and status of the part. Register BR15 holds the value of the mask number for the particular MC145540. BR6 and BR11-BR14 are not defined and as such are presently reserved.
3.3
BIT DESCRIPTION LEGEND
Each bit described in the following sections has a read/write indicator associated with it. The read/write indicator, shown in the lower right corner of each bit, shows what type of bit resides there. The options are described in Table 3-1. Table 3-1. Bit Read/Write Indicator
Indicator rw ro Type Read/Write Read Only Description A Read/Write bit may be written to by the external microcontroller. The information that is read back will be the data that was written. A Read Only bit may only be read by the external microcontroller. Writing to it has no effect unless otherwise specified in the text. When the text says that an "ro" bit is set or cleared, this operation is performed internally by the MC145540. A Read Only/Write Only bit may be written to by the external microcontroller. However, the value that is read back by the external microcontroller is not necessarily the value that was written. An "ro" bit is set and cleared by some internal operation in the MC145540.
ro/wo
Read Only/ Write Only
NOTE
"Setting" a bit corresponds to writing a one to the register and "clearing" a bit corresponds to writing a zero to the register.
MOTOROLA
MC145540
3-1
Table 3-2. Byte Register Map
Byte BR0 b7 Ext 256 kHz Clk Reserved RO Reference Select Digital Rx Gain Enable N.B. Time (7)/ Tone Param. (7) N.B. Threshold (7) / Address Param. (1) Reserved Tone Param. Status Software Encoder Reset Encoder PCM (7) D/A PCM (7) Reserved Reserved Reserved Reserved Reserved b6 Mu/A Law Select b5 Analog Loopback b4 I/O Mode (1) b3 I/O Mode (0) b2 Charge Pump Disable Transmit Gain (2) Analog Receive Gain (2) Digital Rx Gain (2) b1 Analog Power Down Transmit Gain (1) Analog Receive Gain (1) Digital Rx Gain (1) b0 Digital Power Down Transmit Gain (0) Analog Receive Gain (0) Digital Rx Gain (0)
BR1 BR2
Sidetone Gain (2) AXO Enable
Sidetone Gain (1) PO Disable
Sidetone Gain (0) Receive Filter Disable Digital Rx Gain (4)
Transmit Mute RO Mute
BR3
Digital Rx Gain (6)
Digital Rx Gain (5)
Digital Rx Gain (3)
BR4
N.B. Time (6)/ Tone Param. (6) N.B. Threshold (6) / Address Param. (0) Reserved N.B. Detect Enable Software Decoder Reset Encoder PCM (6) D/A PCM (6) Reserved Reserved Reserved Reserved Reserved
N.B. Time (5)/ Tone Param. (5) N.B. Threshold (5) / Don't Care Reserved 2/6 Delay
N.B. Time (4)/ Tone Param. (4) N.B. Threshold (4) / Don't Care Reserved G.726/ Motorola 16 kbps Highpass Disable
N.B. Time (3)/ Tone Param. (3) N.B. Threshold (3) / Tone Param. (11) Reserved Tone Enable
N.B. Time (2)/ Tone Param. (2) N.B. Threshold (2) / Tone Param. (10) Reserved Reserved
N.B. Time (1)/ Tone Param. (1) N.B. Threshold (1) / Tone Param. (9) Reserved Tone 1 Enable
N.B. Time (0)/ Tone Param. (0) N.B. Threshold (0) / Tone Param. (8) Reserved Tone 2 Enable
BR5
BR6 BR7
BR8
Linear Codec Mode Encoder PCM (5) D/A PCM (5) Reserved Reserved Reserved Reserved Reserved
Reserved
Reserved
Reserved
Reserved
BR9 BR10 BR11 BR12 BR13 BR14 BR15
Encoder PCM (4) D/A PCM (4) Reserved Reserved Reserved Reserved Reserved
Encoder PCM (3) D/A PCM (3) Reserved Reserved Reserved Reserved Mask (3)
Encoder PCM (2) D/A PCM (2) Reserved Reserved Reserved Reserved Mask (2)
Encoder PCM (1) D/A PCM (1) Reserved Reserved Reserved Reserved Mask (1)
Encoder PCM (0) D/A PCM (0) Reserved Reserved Reserved Reserved Mask (0)
3-2
MC145540
MOTOROLA
3.4 3.4.1
BYTE REGISTERS BR0
This register contains several miscellaneous control bits. All bits are cleared on hardware reset, but are unaffected by a software reset.
BYTE BR0 b7
EXT 256 kHz CLK rw rw rw rw rw
b6
Mu/A LAW SELECT
b5
ANALOG LOOPBACK
b4
I/O MODE (1)
b3
I/O MODE (0)
b2
CHARGE PUMP DISABLE rw
b1
ANALOG POWER DOWN rw
b0
DIGITAL POWER DOWN rw
External 256 kHz Clock -- This bit controls a mux that selects between an internal or external 256 kHz signal for clocking the PCM Codec block. When this bit is cleared the mux will select the 256 kHz clock from the internal clock generator block. When this bit is set, BCLKR is used to provide an external 256 kHz signal and the internal BCLKR signal will then be supplied from BCLKT. Mu/A Law Select -- This bit controls the compression for the encoder and the expansion for the decoder. Clearing this bit selects Mu-Law companding of the PCM data. Setting this bit selects A-Law companding of the PCM data. Analog Loopback -- Setting this bit enables the user to perform an Analog Loopback from the receive path to the transmit path. Internally the signal at the RO output is routed through an analog switch to the stage of the transmit path between the output of the TG op amp and the input to the transmit trim gain circuitry. The output of the TG op amp is disconnected from this node. I/O Mode (1:0) -- These bits are used to configure the MC145540 for different modes of operation and test (see Table 3-3). Two of the modes select whether the device will function as a combined ADPCM Codec (I/O Mode 00) or as a PCM Codec (I/O Mode 01). The third mode (I/O Mode 10) accommodates independent access to the output of the PCM A/D and the input to the ADPCM encoder. This permits the CCITT/ANSI ADPCM encoder and decoder test vectors to be run, as well as allowing for applications where the PCM data from the A/D may need to be externally processed before being encoded by the ADPCM encoder. The last mode (I/O Mode 11) allows the user to perform a battery test, effectively sampling the voltage present at the VEXT pin. In this mode, the ADC is programmed to output a linear 8-bit PCM word for the voltage at VEXT which is intended to be read in BR9 (b7:b0). The data format for the ADC output is the sign bit and seven magnitude bits. The sign bit is a "don't care." The scaling for the ADC is for 6.3 V at VEXT equals full scale (BIN X111 1111). The ADPCM algorithm does not support dc signals. Charge Pump Disable -- Setting this bit disables the operation of the charge pump circuitry, which normally provides a charge pumped 5 V supply (derived from the VEXT external supply) to the VDD pin, which is also the power supply input for the analog blocks of the device. Disabling the charge pump will internally connect the VDD pin to the VEXT pin. See Section 2.4.1, Power Supply Pins, for further information. Analog Power Down -- When set, this bit forces a power down of the PCM Codec block and the charge pump. This causes the chip to enter a mode in which all clocks to the analog blocks are halted. This bit must be cleared before the PCM Codec block can function in its normal mode. Digital Power Down -- When set, this bit forces all clocks to the DSP Engine block to be halted. Clearing this bit will force the DSP Engine to come out of power down and execute an initialization procedure before starting to execute the ADPCM algorithm. Table 3-3. Input/Output Modes
I/O Mode (1:0) 00 01 10 11 MC145540 Mode ADPCM Codec PCM Codec CCITT Test Battery Test
MOTOROLA
MC145540
3-3
3.4.2
BR1
This register holds the values of the gain factors used in the transmit stage of the PCM Codec block, and in the generation of the sidetone signal that is fed back to the receive path. It also contains a bit to mute the signal going through the PCM Codec transmit path. All bits are cleared on hardware reset.
BYTE BR1 b7
RESERVED
b6
SIDETONE GAIN (2) rw
b5
SIDETONE GAIN (1) rw
b4
SIDETONE GAIN (0) rw
b3
TRANSMIT MUTE rw
b2
TRANSMIT GAIN (2) rw
b1
TRANSMIT GAIN (1) rw
b0
TRANSMIT GAIN (0) rw
Sidetone Gain (2:0) -- These three bits encode the gain factor to be applied to the sidetone signal before it is fed back to the receive stage of the PCM Codec block. The bit contents map to the gain factors in Table 3-4. Transmit Mute -- When set, this bit forces the transmit low-pass filter to apply infinite attenuation to its input signal, effectively muting the transmit path. Transmit Trim Gain (2:0) -- These three bits encode the gain factor to be applied to signals processed by the transmit stage of the PCM Codec block. The bit contents map to the gain factors in Table 3-5.
Table 3-4. Sidetone Gains
Sidetone Gain (2) 0 0 0 0 1 1 1 1 Sidetone Gain (1) 0 0 1 1 0 0 1 1 Sidetone Gain (0) 0 1 0 1 0 1 0 1 Sidetone Gain (dB) -
R
-21.5 -18.0 -15.0 -13.5 -11.5 -10.5 -8.0
Table 3-5. Transmit Analog Trim Gain
Transmit Trim Gain Transmit Trim Gain Transmit Trim Gain Transmit Trim Gain (2) (1) (0) (dB) 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 +1 +2 +3 +4 +5 +6 +7
3-4
MC145540
MOTOROLA
3.4.3
BR2
The contents of this register configure the operation of the receive section of the PCM Codec block. This register is cleared when a hardware reset is applied to the part.
BYTE BR2 b7
RO REFERENCE SELECT rw rw rw
b6
AXO ENABLE
b5
PO DISABLE
b4
RECEIVE FILTER DISABLE rw
b3
RO MUTE
b2
ANALOG RECEIVE GAIN (2) rw rw
b1
ANALOG RECEIVE GAIN (1) rw
b0
ANALOG RECEIVE GAIN (0) rw
RO Reference Select -- This bit selects the dc bias reference voltage for the analog outputs of the device. Clearing this bit sets the reference to its default value of VEXT/2. Setting this bit sets the reference voltage of the single-ended output signal available at the RO output pin to VAG (2.4 V). This bit also determines the reference level for the AXO and PO outputs. Auxiliary Receive Output Enable -- Clearing this bit disables the operation of the AXO block. When this bit is set, data coming out of the PCM Codec block will be available through the fully differential AXO+ and AXO - output pins of the part. These outputs are high impedance when not enabled or powered down. Power Output Disable -- Setting this bit disables the operation of the PO block. When this bit is cleared it enables the operation of a fully differential power output stage available through PI (input), PO+ and PO - (output). The PO block may also be disabled if the PI input is tied to VDD. These outputs are high impedance when disabled or powered down. Receive Filter Disable -- Setting this bit disables the operation of the receive lowpass filter and allows the unfiltered D/A output to go to the RO or AXO driver(s). The sinX/X compensation is done in the receive lowpass filter, which is removed with this option. Note that the Analog Receive Gain (2) and (1) are disabled when this bit is set, bit (0) is still active for 1 dB. RO Mute -- Setting this bit grounds the input of the RO block, providing about 50 dB of attenuation to the signal. "Full Mute" can be established using the DRx Gain function provided in BR3. The RO block remains biased when the RO Mute bit is set in order to prevent audible "pop" when turning the block off and on. Receive Analog Trim Gain -- These three bits encode the gain factor to be applied to signals in the receive stage of the PCM Codec block. The bit contents map to the gain factors in Table 3-6. Table 3-6. Receive Analog Trim Gain
Receive Analog Trim Gain (2) 0 0 0 0 1 1 1 1 Receive Analog Trim Gain (1) 0 0 1 1 0 0 1 1 Receive Analog Trim Gain (0) 0 1 0 1 0 1 0 1 Receive Analog Trim Gain (dB) 0 -1 -2 -3 -4 -5 -6 -7
MOTOROLA
MC145540
3-5
3.4.4
BR3
This register holds the gain factor for the scaled result of the ADPCM decoder output. A control bit to disable the Rx gain routine is also included. This register is cleared when a hardware reset is applied to the part. Write operations to this register are disabled when BR0 (b0) = 1 (Digital Power Down -- active).
BYTE BR3 b7
DIGITAL RX GAIN ENABLE rw rw rw rw rw rw rw rw
b6
DIGITAL RX GAIN (6)
b5
DIGITAL RX GAIN (5)
b4
DIGITAL RX GAIN (4)
b3
DIGITAL RX GAIN (3)
b2
DIGITAL RX GAIN (2)
b1
DIGITAL RX GAIN (1)
b0
DIGITAL RX GAIN (0)
Digital Receive Gain Enable -- Setting this bit prevents the DSP Engine from executing the synchronous tandeming routine (CCITT/ANSI Sync function) and enables the execution of the routine that implements the digital receive gain. When this bit is cleared the CCITT/ANSI Sync function will be executed and the digital Rx gain will be set to unity. Digital Receive Gain (6:0) -- These bits hold the value of the linear gain factor to be applied to the decoded digital samples processed by the DSP Engine. This value is represented in the bit fields by the following summation: (b6) x 21 + (b5) x 20 + (b4) x 2 -1 + (b3) x 2 -2 + (b2) x 2 -3 + (b1) x 2 -4 + (b0) x 2 -5. Two bits (b6:b5) contribute the integral part of the gain and five bits (b4:b0) contribute the fractional part of the gain. The field is a don't care when the Digital Receive Gain Enable bit is cleared. Table 3-7 provides three examples of gain settings and shows the weighting of each bit as it applies to the DRx function. Table 3-7. Digital Receive Gain
Integral Bits b6 b5 20 1 0 1 1 b4 2 -1 0.5 1 0 1 b3 2 -2 0.25 0 0 1 Fractional Bits b2 2 -3 0.125 0 0 1 b1 2 -4 0.0625 0 0 1 b0 2 -5 0.03125 0 0 1 Linear DRx Gain Factor -- -- 0.5 1.0 3.96875
Binary Weighting Decimal Equivalent Example 1 Example 2 Example 3
21 2 0 0 1
3.4.5
BR4
Registers BR4 and BR5 are used for entering parameter data for the tone generation function and the noise burst detect algorithm. The function of this register is controlled by BR5 (b7, b6) and BR7 (b7, b6, b3). This register is cleared when a hardware reset is applied to the part. Write operations to this register are disabled when BR0 (b0) = 1 (Digital Power Down -- active).
BYTE
BR4
b7
N.B. TIME (7)/ TONE PARAM. (7) rw
b6
N.B. TIME (6)/ TONE PARAM. (6) rw
b5
N.B. TIME (5)/ TONE PARAM. (5) rw
b4
N.B. TIME (4)/ TONE PARAM. (4) rw
b3
N.B. TIME (3)/ TONE PARAM. (3) rw
b2
N.B. TIME (2)/ TONE PARAM. (2) rw
b1
N.B. TIME (1)/ TONE PARAM. (1) rw
b0
N.B. TIME (0)/ TONE PARAM. (0) rw
Noise Burst Detect Time Interval (7:0) -- When the MC145540 is in the Noise Burst Detect Mode, BR7 (b6) = 1 and BR7 (b3) = 0, this register holds the time interval, in milliseconds, over which the audio energy is integrated. The format of the data word for time is integer binary. The recommended interval periods are from 20 ms (BIN 0001 0100) to 128 ms (BIN 1000 0000). See the descriptions for BR5 and BR7 for more information. Tone Generator Parameter (7:0) -- In the tone generation mode, BR7 (b3) = 1, this register is used to enter the eight LSBs of the tone generator frequency coefficient, or the tone attenuation factor. The MSBs of the tone generator coefficient or the tone attenuation factor are specified in BR5 (b3:b0). BR5 (b6) indicates whether the data is a tone coefficient or a tone attenuation factor. Bit BR5 (b7) indicates whether the data entered is for tone generator 1 or tone generator 2. See the descriptions for BR5 and BR7 for more information. 3-6 MC145540 MOTOROLA
3.4.6
BR5
Registers BR4 and BR5 are used for entering parameter data for the tone generation function and the noise burst detect algorithm. This register is cleared when a hardware reset is applied to the part. Write operations to this register are disabled when BR0 (b0) = 1 (Digital Power Down -- active).
BYTE BR5 b7
N.B. THRESHOLD (7)/ ADDRESS PARAM. (1) rw
b6
N.B. THRESHOLD (6)/ ADDRESS PARAM. (0) rw
b5
N.B. THRESHOLD (5)/ DON'T CARE
b4
N.B. THRESHOLD (4)/ DON'T CARE
b3
N.B. THRESHOLD (3)/TONE PARAM. (11)
b2
N.B. THRESHOLD (2)/TONE PARAM. (10)
b1
N.B. THRESHOLD (1)/TONE PARAM. (9)
b0
N.B. THRESHOLD (0)/TONE PARAM. (8)
rw
rw
rw
rw
rw
rw
Noise Burst Detect Energy Threshold (7:0) -- In the Noise Burst Detect Mode, BR7 (b6) = 1 and BR7 (b3) = 0, this register is used to enter the audio energy threshold value for the noise burst detect algorithm. The magnitude of the 13-bit decoded linear words are summed in increments of eight samples (1 ms of samples) to obtain a 24-bit value. The number of milliseconds is the value in BR4. When the number of milliseconds has been completed, the most significant 8 bits of the 24-bit total are compared with the value of BR5 to determine if there was more energy in the reconstructed ADPCM codes than normal voice. If the threshold (BR5) is exceeded, then BR7 (b6) will be set. After this decision is made the 24-bit total is cleared and the process starts over. For a change in the time interval (BR4), the threshold value (BR5) must be changed proportionally, to detect the same amount of energy in the received ADPCM. The microcontroller must poll BR7 (b6) and may attenuate the receive gain or mute the audio output if this bit is set. See BR7 description for more information. Tone Generator Address Parameter (1:0) -- In tone generation mode, BR7 (b3) = 1, this register is used to enter the four MSBs of the tone frequency coefficient, and the tone attenuation factor. BR5 (b6) indicates whether the data is a tone frequency coefficient or a tone attenuation factor. Bit BR5 (b7) indicates whether the data entered is for tone generator 1 or tone generator 2. Setting BR5 (b7:b6) results in the operation shown in Table 3-8.
Table 3-8. Tone Generator Address Parameters
b7 Tone Generator 0 0 1 1 b6 Tone Parameter 0 1 0 1 Resulting Operation
Tone Generator 1, Frequency Coefficient Tone Generator 1, Tone Attenuation Factor Tone Generator 2, Frequency Coefficient Tone Generator 2, Tone Attenuation Factor
MOTOROLA
MC145540
3-7
3.4.6
BR5 (continued)
Tone Generator Frequency Parameter (11:0) -- These bits hold the value used to determine the frequency for tone generator 1 or tone generator 2. This value must be a 12-bit (2's complement) approximation of cos(2foT), where fo is the frequency of the tone and T is the period between samples (125 s). The format of the data for the tone generator frequency coefficient is a 12-bit 2's complement number with bits (10:0) being the fractional part and bit (11) being the sign information. These frequency coefficients are limited to values between negative 1 (BIN 1.000 0000 0000 or HEX 08 00) and almost positive 1 (BIN 0.111 1111 1111 or HEX 07 FF). Table 3-9 shows the values that must be used to synthesize frequencies used in DTMF applications.
Table 3-9. Tone Generator Coefficients for DTMF
Frequency Parameter (Hex) Tone Frequency (Hz) 697 770 852 941 1209 1336 1477 1633 BR5 06 06 06 05 04 03 03 02 BR4 D5 95 46 EA A8 FC 32 46
Tone Generator Attenuation Parameter (11:0) -- The attenuation parameter will determine the scaling on the amplitude of tones generated. The peak amplitude of the tones before attenuation is 13-bit linear full scale, which is full scale for the DAC output. In DTMF applications, this attenuation feature allows for the user to vary the twist of one tone with respect to the other, in order to comply with standard EIA-470. The attenuation parameter will be used to scale the amplitude of a sample produced by tone generator 1 or tone generator 2 before it is sent to the receive gain function in preparation for companding and conversion to an analog signal. The format of the data for the tone generator attenuation parameter is a 12-bit 2's complement number with bits (10:0) being the fractional part and bit (11) being the sign information. These attenuation parameters should be limited to positive values between zero (BIN 0.000 0000 0000 or HEX 00 00) and almost positive 1 (BIN 0.111 1111 1111 or HEX 07 FF). Note that this scaling will always result in the attenuation of the signal.
3.4.7
BR6
This register is reserved. The state of the bits BR6 (b7:b0) is inconsequential.
BYTE BR6 b7 b6 b5 b4 b3 b2 b1 b0
RESERVED
RESERVED
RESERVED
RESERVED
RESERVED
RESERVED
RESERVED
RESERVED
3-8
MC145540
MOTOROLA
3.4.8
BR7
This register contains the bits that enable the operation of both tone generators and the noise burst detect algorithm. BR7 also includes registers that determine whether two or six frame delay is to be used and which 16 kbps algorithm is to be selected. This register is cleared when a hardware reset is applied to the part ( i.e., PDI/RESET = 0). Write operations to this register are disabled when BR0 (b0) = 1 (Digital Power Down -- active).
BYTE BR7 b7
TONE PARAM. STATUS ro
b6
N.B. DETECT ENABLE ro/wo
b5
2/6 DELAY
b4
G.726/ MOTOROLA 16 kbps rw rw
b3
TONE ENABLE
b2
RESERVED
b1
TONE 1 ENABLE
b0
TONE 2 ENABLE
rw
rw
rw
Tone Parameter Status -- This read-only bit allows the external microcontroller to know when the data written to BR4 and BR5 has been accepted by the internal CPU. After writing to registers BR4 and BR5 (in this specific order) the external microcontroller must poll this bit and look for a logic 0 before writing again to BR4 and BR5. After writing to BR5 this bit will be set indicating to the internal CPU that a valid parameter was entered. The internal CPU will read the contents of BR4/BR5 before resetting this bit. See BR4 and BR5 for more information. Noise Burst Detect Enable -- This bit is a ro/wo (read only/write only) bit. This bit may be written to by the external microcontroller; however, the value that is read back by the external microcontroller is not necessarily the value previously written. Setting this bit will signal the internal CPU to start running the noise burst algorithm. If the noise burst detect algorithm finds that the received signal exceeds the threshold value, it will write to a register that can be read by polling this bit. This allows the possibility to temporarily mute or attenuate the receive path to prevent the noise burst from disturbing the listener. See BR4 and BR5 for more information. 2/6 Delay -- This bit controls the amount of delay from an ADPCM rate change request at the encoder input register to the moment the correct output is observed at the DT output pin. When this bit is cleared there will be a two frame delay; if this bit is set a six frame delay will be applied. G.726 / Motorola 16 kbps -- This bit determines the coding scheme used when operating the part in a 16 kbps mode. Clearing this bit selects the G.726 defined 16 kbps coding algorithm. Setting this bit selects a Motorola Proprietary ADPCM coding algorithm, which is the 16 kbps algorithm used in the MC145532 ADPCM Transcoder. Tone Enable -- When this bit is set it tells this device to do four specific things. The first is to execute the tone generator routine instead of the ADPCM decoder routine. This disables the noise burst detect algorithm. The second is to select BR4 and BR5 for use in programing the frequency and attenuation parameters for the tone generators. The third is to route the tone generator output to the input of the receive digital gain control routine for analog reconstruction and use at the receive analog outputs of the device. The fourth is to route the tone generator output to the input of the ADPCM encoder for output at the DT pin. When this bit is low, coefficients for frequency and attenuation are lost. Reserved -- This bit is reserved. Tone 1 Enable -- This bit must be set in order to enable tone 1. This allows the digital samples from tone generator 1 to be added to the 13-bit linear word at the output of the tone generator function for use by the rest of the device. This bit must be taken low to reprogram the frequency of tone 1. Tone 2 Enable -- This bit must be set in order to enable tone 2. This allows the digital samples from tone generator 2 to be added to the 13-bit linear word at the output of the tone generator function for use by the rest of the device. This bit must be taken low to reprogram the frequency of tone 2.
MOTOROLA
MC145540
3-9
3.4.9
BR8
This register contains miscellaneous control bits. This register is cleared when a hardware reset is applied to the part. Write operations to this register will be disabled when BR0 (b0) = 1 (Digital Power Down -- active).
BYTE BR8 b7
SOFTWARE ENCODER RESET rw
b6
SOFTWARE DECODER RESET rw
b5
LINEAR CODEC MODE rw
b4
HIGH PASS DISABLE
b3
RESERVED
b2
RESERVED
b1
RESERVED
b0
RESERVED
rw
Software Encoder Reset -- When set by the SCP control port, this bit forces the MC145540 to execute an initialization procedure every time it receives an interrupt signal from the encoder I/O registers. This bit is cleared for normal operation. Software Decoder Reset -- When set by the SCP control port, this bit forces the MC145540 to execute an initialization procedure every time it receives an interrupt signal from the decoder I/O registers. This bit is cleared for normal operation. Linear Codec Mode -- Setting this bit will force the PCM Codec block to operate as an 8-bit Linear Codec. The A/D and D/A will be changed from Mu-Law or A-Law to 8-bit linear. High-Pass Disable -- Setting this bit disables the operation of the transmit high-pass filter. This extends the frequency response of the transmit analog signal path down to dc, which can result in higher quantization distortion if a dc offset voltage is present at the input to the encoder. CAUTION Reserved bits b3, b2, b1, and b0 must be set to zero at all times.
3.4.10
BR9
The read-only (ro) section of this SCP register allows the external microcontroller to have access to the PCM word generated after an A/D conversion. When the I/O MODE (1:0) field in BR0 (b4:b3) is set to a logic `10' it will allow the external microcontroller to enter PCM data to the input of the ADPCM encoder using the write-only (wo) section of this SCP register. In this mode, external processing may be done on the A/D PCM word before it is encoded into an ADPCM word.
BYTE BR9 b7
ENCODER PCM (7) ro/wo
b6
ENCODER PCM (6) ro/wo
b5
ENCODER PCM (5) ro/wo
b4
ENCODER PCM (4) ro/wo
b3
ENCODER PCM (3) ro/wo
b2
ENCODER PCM (2) ro/wo
b1
ENCODER PCM (1) ro/wo
b0
ENCODER PCM (0) ro/wo
3.4.11 BR10
This SCP register allows the external microcontroller to have access to the PCM word generated by the ADPCM decoder function. This PCM word is the same data that is sent to the PCM Codec to execute a D/A conversion.
BYTE BR10 b7
D/A PCM (7) ro
b6
D/A PCM (6) ro
b5
D/A PCM (5) ro
b4
D/A PCM (4) ro
b3
D/A PCM (3) ro
b2
D/A PCM (2) ro
b1
D/A PCM (1) ro
b0
D/A PCM (0) ro
3-10
MC145540
MOTOROLA
3.4.12
BR11
This register is reserved. The state of the bits BR11 (b7:b0) is inconsequential.
BYTE BR11 b7 RESERVED b6 RESERVED b5 RESERVED b4 RESERVED b3 RESERVED b2 RESERVED b1 RESERVED b0 RESERVED
3.4.13
BR12
This register is reserved. The state of the bits BR12 (b7:b0) is inconsequential.
BYTE BR12 b7 RESERVED b6 RESERVED b5 RESERVED b4 RESERVED b3 RESERVED b2 RESERVED b1 RESERVED b0 RESERVED
3.4.14
BR13
This register is reserved. The state of the bits BR13 (b7:b0) is inconsequential.
BYTE BR13 b7 RESERVED b6 RESERVED b5 RESERVED b4 RESERVED b3 RESERVED b2 RESERVED b1 RESERVED b0 RESERVED
3.4.15
BR14
This register is reserved. The state of the bits BR14 (b7:b0) is inconsequential.
BYTE BR14 b7 RESERVED b6 RESERVED b5 RESERVED b4 RESERVED b3 RESERVED b2 RESERVED b1 RESERVED b0 RESERVED
3.4.16
BR15
This register contains the revision number of the particular ADPCM Codec device.
BYTE BR14 b7 RESERVED b6 RESERVED b5 RESERVED b4 RESERVED b3 MASK 3 ro b2 MASK 2 ro b1 MASK 1 ro b0 MASK 0 ro
Mask 3:0 -- These bits allow for an electronic determination of the revision number of the MC145540 ADPCM Codec manufacturing mask set.
MOTOROLA
MC145540
3-11
3-12
MC145540
MOTOROLA
ELECTRICAL SPECIFICATIONS
4
4.1
MAXIMUM RATINGS (Voltages Referenced to VSS Pin)
Rating DC Supply Voltage Voltage on Any Analog Input or Output Pin Voltage on Any Digital Input or Output Pin Operating Temperature Range Storage Temperature Range TA Tstg Symbol VEXT, VDD Value - 0.5 to 6 VSS - 0.3 to VDD + 0.3 VSS - 0.3 to VEXT + 0.3 - 40 to + 85 - 85 to + 150 Unit V V V C C
4.2
POWER SUPPLY (TA = - 40 to + 85C, SPC = 20.48 MHz)
Characteristics Min Typ Max Unit VEXT = VDD = 5.0 V, Charge Pump Off VEXT = VDD DC Supply Voltage Active Power Dissipation (VEXT = 5.0 V) (No Load, PI VDD - 0.5 V, AXO + and AXO - off) (No Load, PI VDD - 1.5 V, AXO + and AXO - on) 4.75 -- -- -- 5.0 80 85 2 5.25 95 100 20 mW V mW
w v
Power Down Dissipation (VIH for logic levels must be
w 3.0 V, SPC off)
VEXT = 3.0 V, Charge Pump On Supplying VDD VEXT DC Supply Voltage Active Power Dissipation (VEXT = 3.0 V) (No Load, PI VDD - 0.5 V, AXO + and AXO - off) (No Load, PI VDD - 1.5 V, AXO + and AXO - on) 2.7 -- -- -- 3.0 55 60 0.15 5.25 70 75 2.5 mW V mW
w v
Power Down Dissipation (SPC off)
MOTOROLA
MC145540
4-1
4.3
DIGITAL LEVELS (VEXT = 2.7 to 5.25 V, VSS = 0 V, TA = - 40 to + 85C)
Characteristics Input Low Voltage Input High Voltage Input Low Voltage Input High Voltage Output Low Voltage (IOL = 1.6 mA) Output High Voltage (IOH = - 1.6 mA) Input Low Current (VSS (FSR, FST, BCLKR, BCLKT, DR, SCP Rx, SCP CLK, SCP EN) (FSR, FST, BCLKR, BCLKT, DR, SCP Rx, SCP CLK, SCP EN) (SPC) (SPC) (DT) (DT) Symbol VIL VIH VIL VIH VOL VOH IIL IIH (DT, SCP Tx) (SCP Tx) (SCP Tx) IOZ VOL VOH Cin Cout Min -- VEXT - 0.5 -- VEXT - 0.5 -- VEXT - 0.5 - 10 - 10 - 10 -- VEXT - 0.5 -- -- Max 0.5 -- 0.5 -- 0.4 -- + 10 + 10 + 10 0.4 -- 10 15 Unit V V V V V V A A A V V pF pF
v Vin v VEXT) Input High Current (VSS v Vin v VEXT)
Output Current in High Impedance State (VSS DT, SCP Tx VEXT)
v
v
Output Low Voltage (IOL = 0.8 mA) Output High Voltage (IOH = - 0.8 mA) Input Capacitance Output Capacitance
(FSR, FST, BCLKR, BCLKT, DR, SCP Rx, SCP CLK, SCP EN) (DT, SCP Tx)
4.4
ANALOG ELECTRICAL CHARACTERISTICS
(VEXT = VDD = 5 V 5%; Charge Pump Off, VSS = 0 V, BR2 (b7) = 1, TA = - 40 to + 85C)
Characteristics Input Current AC Input Impedance to VAG (1 kHz) Input Capacitance Input Offset Voltage of TG Op Amp Input Common Mode Voltage Range Input Common Mode Rejection Ratio Gain Bandwidth Product (10 kHz, RL DC Open Loop Gain (RL TI +, TI - TI +, TI - TI +, TI - TI +, TI - TI +, TI - Min -- -- -- -- 1.0 -- -- 80 -- 0 0.5 1.0 TG, RO TG or RO RO RO 1.0 2 -- 0 -- 2.1 2 -- 50 Typ 0.1 1.0 -- -- -- 60 3000 95 - 30 -- -- -- -- -- 1 -- 1 2.4 5 40 75 Max 1.0 -- 10 5 VDD - 2.0 -- -- -- -- 100 VDD - 0.5 VDD - 1.0 -- -- -- 500 25 2.6 -- -- -- mA k pF mV V mA dBC Unit A M pF mV V dB kHz dB dBr nC pF V
w 10 k)
w 10 k)
TI +, TI - TG Op Amp TG Op Amp
Equivalent Input Noise (C-Mess) Between TI + and TI - at TG Output Load Capacitance Output Voltage Range (RL = 10 k to VAG) (RL = 2 k to VAG) Output Current (0.5 V Vout TG Op Amp TG
v
v VDD - 0.5 V)
Output Load Resistance to VAG Output Impedance (0 to 3.4 kHz) Output Load Capacitance DC Output Offset Voltage of RO referenced to VAG VAG Output Voltage referenced to VSS
VAG Output Current with less than 40 mV change in Output Voltage Power Supply Rejection Ratio (0 to 100 kHz @ 100 mVrms applied to VDD. C-Message Weighting. All analog signals referenced to VAG pin.) Transmit Receive
4-2
MC145540
MOTOROLA
4.5
POWER DRIVERS PI, PO+, PO-, AXO+, AXO-
(VEXT = VDD = 5 V 5%; Charge Pump Off, VSS = 0 V, BR2 (b7) = 1, TA = - 40 to + 85C)
Characteristics Input Current (VAG - 0.5 V Min PI PI -- 10 -- -- -- -- -- 10 -- PO - -- 0 - 0.2 40 40 -- Typ 0.05 -- -- 5 50 50 30 -- 1 1000 -- 0 50 55 40 Max 1.0 -- 25 60 150 175 120 -- -- -- 1000 + 0.2 -- -- -- Unit A M mV mV mV mV mV mA kHz pF dB dBC dB
v PI v VAG + 0.5 V) v v VAG + 0.5 V)
Input Resistance (VAG - 0.5 V PI
Input Offset Voltage PI relative to VAG Output Offset Voltage of AXO + relative to AXO - Output Offset Voltage of AXO + or AXO - relative to VAG Output Offset Voltage of PO + relative to PO - (Inverted Unity Gain for PO -) Output Offset Voltage for PO + or PO - relative to VAG (Inverted Unity Gain for PO -) Output Current (VSS + 0.7 V
v PO +, PO -, AXO +, AXO - v VDD - 0.7 V)
PO +, PO -, AXO + or AXO - Output Resistance (Inverted Unity Gain for PO -) Gain Bandwidth Product (10 kHz, Open Loop) Load Capacitance PO +, PO -, AXO + or AXO - to VAG, or from PO + (AXO+) to PO - (AXO -) Gain of PO + relative to PO - Total Signal to Distortion at PO + (AXO +) and PO - (AXO -) with a 300 differential load Power Supply Rejection Ratio (0 to 25 kHz @ 100 mVrms applied to VEXT, PO - connected to PI. Differential or measured referenced to VAG pin.) 0 to 4 kHz 4 to 25 kHz
NOTE: DC reference voltage for PO +, PO -, AXO +, AXO -, and RO is VAG.
MOTOROLA
MC145540
4-3
4.6
ANALOG ELECTRICAL CHARACTERISTICS
(VEXT = 3.0 V 10%; Charge Pump On Supplying VDD, VSS = 0 V, BR2 (b7) = 0, TA = - 40 to + 85C)
Characteristics Input Current AC Input Impedance to VAG (1 kHz) Input Capacitance Input Offset Voltage of TG Op Amp Input Common Mode Voltage Range Input Common Mode Rejection Ratio Gain Bandwidth Product (10 kHz, RL DC Open Loop Gain (RL TI +, TI - TI +, TI - TI +, TI - TI +, TI - TI +, TI - Min -- -- -- -- 1.0 -- -- 80 -- 0 0.5 1.0 TG, RO TG or RO RO RO 1.0 2 -- 0 -- 2.1 -- -- -- Typ 0.01 1.0 -- -- -- 60 3000 95 - 30 -- -- -- -- -- 1 -- VEXT /2 2.4 1 40 50 Max 1.0 -- 10 5 VDD - 2.0 -- -- -- -- 100 VDD - 0.5 VDD - 1.0 -- -- -- 500 -- 2.6 -- -- -- mA k pF mV V mA dBC Unit A M pF mV V dB kHz dB dBrnC pF V
w 10 k)
w 10 k)
TI +, TI - TG Op Amp TG Op Amp
Equivalent Input Noise (C-Mess) Between TI+ and TI- at TG Output Load Capacitance Output Voltage Range (RL = 10 k to VAG) (RL = 2 k to VAG) Output Current (0.5 V TG Op Amp TG
v Vout v VDD - 0.5 V)
Output Load Resistance to VAG Output Impedance (0 to 3.4 kHz) Output Load Capacitance DC Output Voltage of RO VAG Output Voltage referenced to VSS
VAG Output Current with less than 40 mV change in Output Voltage Power Supply Rejection Ratio (0 to 100 kHz @ 100 mVrms applied to VDD. C-Message Weighting. All analog signals referenced to VAG pin.) Transmit Receive
NOTE: To prevent the RO output from distorting during the reconstruction of large analog amplitudes, the receive signal should be attenuated by 6 dB for 2.7 V operation.
4-4
MC145540
MOTOROLA
4.7
POWER DRIVERS PI, PO+, PO-, AXO+, AXO-
(VEXT = 3.0 V = 10%; Charge Pump On Supplying VDD, VSS = 0 V, BR2 (b7) = 0, TA = - 40 to + 85C)
Characteristics Input Current (VEXT/2) - 0.5 V Input Resistance (VEXT/2) - 0.5 V Min PI PI -- 10 -- -- -- -- -- -- -- -- 0 - 0.2 40 30 -- Typ 0.05 -- -- 5 VEXT/2 5 VEXT/2 3.5 1 1000 -- 0 50 45 40 Max 1.0 -- 25 55 -- 120 -- -- -- -- 1000 + 0.2 -- -- -- Unit A M mV mV V mV V mA kHz pF dB dBC dB
v PI v (VEXT/2) + 0.5 V v PI v (VEXT/2) + 0.5 V
Input Offset Voltage PI relative to (VEXT/2) Output Offset Voltage of AXO + relative to AXO - DC Output Voltage of AXO + or AXO - Output Offset Voltage of PO + relative to PO - (Inverted Unity Gain for PO -) DC Output Voltage of PO + or PO - Output Current (VSS + 0.5 V 0.5 V)
v PO +, PO -, AXO +, AXO - v VEXT -
PO +, PO -, AXO + or AXO - Output Resistance (Inverted Unity Gain for PO -) Gain Bandwidth Product @ 10 kHz (Open Loop) Load Capacitance PO + to PO -, AXO + to AXO - Gain of PO + (AXO +) relative to PO - (AXO -) Total Signal to Distortion at PO + (AXO +) and PO - (AXO -) with a 300 differential load Power Supply Rejection Ratio (0 to 25 kHz @ 100 mVrms applied to VEXT. PO - connected to PI. Differentially measured.) 0 to 4 kHz 4 to 25 kHz PO -
NOTE: DC reference voltage for AXO +, AXO -, PO +, PO -, and RO is VEXT/2.
MOTOROLA
MC145540
4-5
4.8
ANALOG TRANSMISSION PERFORMANCE
(VDD = 5 V 5% Powered by the Charge Pump or Externally; VSS = 0 V; All Analog Signals Referenced to VAG; 0 dBm0 = 0.775 Vrms = + 0 dBm @ 600 ; 64 kbps PCM; FST = FSR = 8 kHz; BCLKT = BCLKR = 2.048 MHz; SPC = 20.48 MHz Synchronous Operation; TA = - 40 to +85C; Unless Otherwise Noted)
End to End Characteristics Absolute Gain (0 dBm0 @ 1.02 kHz, TA = 25C) VDD = 5.0 V Absolute Gain Variation with Temperature 0 to + 70C - 40 to + 85C Absolute Gain Variation with Power Supply VDD = 5 V, 5% Gain vs Level Tone (Mu-Law, Relative to - 10 dBm0, 1.02 kHz) + 3 to - 40 dBm0 - 40 to - 50 dBm0 - 50 to - 55 dBm0 Min -- -- -- -- -- -- -- -- -- -- 33 35 28 24 27.5 35.0 33.1 28.2 13.2 -- -- -- -- -- -- -- -- -- -- -- -- Max -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- 19 - 70 -- -- -- -- -- -- -- -- -- - 48 Min - 0.25 -- -- -- - 0.3 - 1.0 - 1.6 - 0.25 - 0.60 - 1.0 34 36 29 25 28 35.5 33.5 28.5 13.5 -- -- -- -- -- - 1.0 - 0.20 - 0.35 - 0.8 -- -- -- A/D Max 0.25 0.03 0.05 0.03 + 0.3 + 1.0 + 1.6 + 0.25 + 0.30 + 0.45 -- -- -- -- -- -- -- -- -- 19 - 70 - 40 - 30 - 26 - 0.4 + 0.15 + 0.15 0.0 - 14 - 32 - 48 Min - 0.25 -- -- -- - 0.2 - 0.4 - 0.8 - 0.25 - 0.30 - 0.45 34 36 30 25 28.5 36.0 34.2 30.0 15.0 -- -- - 0.5 - 0.5 - 0.5 - 0.5 - 0.20 - 0.35 - 0.8 -- -- -- D/A Max 0.25 dB 0.03 0.05 dB 0.04 + 0.2 + 0.4 + 0.8 + 0.25 + 0.30 + 0.45 -- -- -- -- -- -- -- -- -- 11 - 78 0 0 0 0 + 0.15 + 0.15 0 - 14 - 30 - 48 dB 4600 to 7600 Hz 7600 to 8400 Hz 8400 to 100,000 Hz Idle Channel Noise Selective @ 8 kHz, Input = VAG, 30 Hz Bandwidth Absolute Delay @ 1600 Hz -- -- -- -- -- - 30 - 40 - 30 - 70 -- -- -- -- -- -- -- -- -- -- 440 -- -- -- -- -- - 30 - 40 - 30 - 70 330 dBm0 s dBrnC0 dBm0p dB dBC dB Unit dB
Gain vs Level Pseudo Noise, CCITT G.714 (A-Law relative to - 10 to - 40 dBm0 - 10 dBm0) - 40 to - 50 dBm0 - 50 to - 55 dBm0 Total Distortion, 1.02 kHz Tone (Mu-Law, C-Message Weighting) + 3 dBm0 0 to - 30 dBm0 - 40 dBm0 - 45 dBm0
dB
Total Distortion Pseudo Noise, CCITT G.714 (A-Law) - 3 dBm0 - 6 to - 27 dBm0 - 34 dBm0 - 40 dBm0 - 55 dBm0 Idle Channel Noise (for End-to-End and A/D, Note 1) Mu-Law, C-Message Weighted A-Law, Psophometric Weighted Frequency Response (Relative to 1.02 kHz @ 0 dBm0) 15 Hz 50 Hz 60 Hz 200 Hz 300 to 3000 Hz 3300 Hz 3400 Hz 4000 Hz 4600 to 100,000 Hz
dB
Inband Spurious (1.02 kHz @ 0 dBm0, Transmit and Receive) 300 to 3000 Hz Out-of-Band Spurious at RO + (300 to 3400 Hz @ 0 dBm0 in)
dB
NOTE: 1. Extrapolated from a 1020 Hz @ - 50 dBm0 distortion measurement to correct for encoder enhancement. (continued)
4-6
MC145540
MOTOROLA
4.8
ANALOG TRANSMISSION PERFORMANCE (continued)
End to End Characteristics Group Delay Referenced to 1600 Hz 500 to 600 Hz 600 to 800 Hz 800 to 1000 Hz 1000 to 1600 Hz 1600 to 2600 Hz 2600 to 2800 Hz 2800 to 3000 Hz Crosstalk of 1020 Hz @ 0 dBm0 from A/D or D/A (Note 2) Intermodulation Distortion of two Frequencies of Amplitudes - 4 to - 21 dBm0 from the range 300 to 3400 Hz -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- 210 130 70 35 70 95 145 - 70 - 40 - 40 - 40 - 30 -- -- -- -- -- -- -- -- 85 110 175 - 70 dB dB -- - 41 -- - 41 -- - 41 Min Max Min A/D Max Min D/A Max Unit s
NOTE: 2. Selectively measured while stimulated with 2667 Hz @ - 50 dBm0.
4.9
DIGITAL SWITCHING CHARACTERISTICS, LONG FRAME SYNC AND SHORT FRAME SYNC
(VEXT = +2.7 V to +5.25 V, VSS = 0 V, All Digital Signals Referenced to VSS, TA = - 40 to +85C, CL = 150 pF, Unless Otherwise Noted)
Ref # Characteristics Signal Processing Clock (SPC) Frequency (see Note) Signal Processing Clock (SPC) Duty Cycle 1 2, 3 4 5 6 7 8 9 10 11 12 Master Clock (MCLK) Frequency for External 256 kHz applied at BCLKR pin Master Clock (MCLK) Duty Cycle for External 256 kHz applied at BCLKR pin Rise Time for All Digital Signals Fall Time for All Digital Signals Bit Clock Data Rate for BCLKT or BCLKR Minimum Pulse Width High for BCLKT or BCLKR Minimum Pulse Width Low for BCLKT or BCLKR Hold Time for BCLKT (BCLKR) Low to FST (FSR) High Setup Time for FST (FSR) High to BCLKT (BCLKR) Low Setup Time for DR Valid to BCLKR Low Hold Time from BCLKR Low to DR Invalid LONG FRAME SPECIFIC TIMING 15 16 17 18 19 Hold Time from 2nd Period of BCLKT (BCLKR) Low to FST (FSR) Low Delay Time from FST or BCLKT, Whichever is Later, to DT for Valid b0 Data Delay Time from BCLKT High to DT for Valid b1 - b7 Delay Time from BCLKT Low to DT Output High Impedance Minimum Pulse Width Low for FST or FSR SHORT FRAME SPECIFIC TIMING 20 21 22 23 Hold Time from BCLKT (BCLKR) Low to FST (FSR) Low Setup Time from FST (FSR) Low to b0 Period of BCLKT (BCLKR) Low Delay Time from BCLKT High to DT Data Valid Delay Time from the 4th BCLKT Low to DT Output High Impedance 50 50 10 10 -- -- -- -- -- -- 60 60 ns ns ns ns 50 -- -- 10 100 -- -- -- -- -- -- 60 60 60 -- ns ns ns ns ns Min 20.40 45 -- 45 -- -- 64 50 50 20 80 20 50 Typ 20.48 50 256 -- -- -- -- -- -- -- -- -- -- Max 23.12 55 -- 55 50 50 5120 -- -- -- -- -- -- Unit MHz % kHz % ns ns kHz ns ns ns ns ns ns
NOTE: See section 2.2.6 for more details.
MOTOROLA
MC145540
4-7
4-8
1 4 3 2 5 MCLK (BCLKR) 9 6
Figure 4-1. MC145540 Long Frame Sync Timing
BCLKT
1
2
3
4
5
6
7
8
9
10
15
7
8
FST
MC145540 MOTOROLA
16 18 16 D2 D3 D4 D5 D6 D7 17 18
D0 DT
D1
BCLKR
1
2
3
4
5
6
7
8
9
9 10 15
7
8
FSR
12 11
DR
D0
D1
D2
D3
D4
D5
D6
D7
MOTOROLA
1 2 3 4 5 MCLK (BCLKR) 9 6
Figure 4-2. MC145540 Short Frame Sync Timing
BCLKT
1
2
3
4
5
6
7
10
20 7 21 8
FST
MC145540 4-9
22
22
23
DT
D0
D1
D2
D3
9
6
BCLKR
1
2
3
4
5
6
7
10
20 21 7 8
FSR
12 11
DR
D0
D1
D2
D3
4.10
DIGITAL SWITCHING CHARACTERISTICS -- SERIAL CONTROL PORT (SCP)
(VEXT = +2.7 V to +5.25 V, VSS = 0 V, All Digital Signals Referenced to VSS, TA = - 40 to +85C, CL = 150 pF, Unless Otherwise Noted; Note 1)
Ref # 25 26 27 28 29 30 31 32 33 34 35 36 37 Characteristics SCP CLK Rising Edge Before SCP EN Falling Edge SCP EN Falling Edge Before SCP CLK Rising Edge SCP Rx Data Valid Before SCP CLK Rising Edge SCP Rx Data Valid After Rising Edge of SCP CLK SCP Clock Frequency SCP Clock Width Low SCP Clock Width High SCP CLK Rising Edge Before SCP EN Rising Edge (Note 2) SCP EN Rising Edge Before SCP CLK Rising Edge (Note 2) Ninth SCP CLK Falling Edge to SCP Tx Low-Impedance for Read Operations SCP CLK Falling Edge (While SCP EN is Low) to SCP Tx Data Valid for Read Operations SCP EN Falling Edge to SCP Tx Active for Read Operations with 8-Bit Transfers SCP EN Rising Edge to SCP Tx High-Impedance Min 40 30 30 30 -- 50 50 50 50 -- -- 0 -- Max -- -- -- -- 4.1 -- -- -- -- 40 40 50 30 Unit ns ns ns ns MHz ns ns ns ns ns ns ns ns
NOTES: 1. Measurements are made from the point at which they achieve their guaranteed minimum or maximum logic levels. 2. SCP EN must rise between the rising edge of the eighth SCP CLK and the rising edge of the ninth SCP CLK for an 8-bit access or the access will be ignored. For a 16-bit access, SCP EN must rise between the rising edge of the sixteenth SCP CLK and the rising edge of the seventeenth SCP CLK or the access will be ignored.
4-10
MC145540
MOTOROLA
MOTOROLA
SCP EN SCP EN MAY REMAIN LOW FOR 8 OR 16 SCP CLK CYCLES.
Figure 4-3. MC145540 Serial Control Port (SCP) Timing
25 29 26 32
33
1 SCP CLK
2
3
4
5
6
7
8
9
MC145540 4-11
27 30
31
28
SCP Rx
R/W/b7
1/b6
1/b5
1/b4
A3/b3
A2/b2
A1/b1
A0/b0
NEXT 8 BITS
36 34 35 35 36
37
16 BIT SCP Tx b7 b6 b5 b4 b3 b2 b1 b0 MODE
4-12
MC145540
MOTOROLA
PACKAGE DIMENSIONS
5
MC145540P 28-LEAD PLASTIC DIP CASE 710-02
NOTES: 1. POSITIONAL TOLERANCE OF LEADS (D), SHALL BE WITHIN 0.25mm (0.010) AT MAXIMUM MATERIAL CONDITION, IN RELATION TO SEATING PLANE AND EACH OTHER. 2. DIMENSION L TO CENTER OF LEADS WHEN FORMED PARALLEL. 3. DIMENSION B DOES NOT INCLUDE MOLD FLASH. 4. 710 01 OBSOLETE, NEW STANDARD 710 02.
28
15
B
1 14
A N
C
L
H
G
F
D SEATING
PLANE
K
M
J
DIM A B C D F G H J K L M N
MILLIMETERS MIN MAX
36.45 13.72 3.94 0.36 1.02 37.21 14.22 5.08 0.56 1.52
INCHES MIN MAX
1.435 0.540 0.155 0.014 0.040 1.465 0.560 0.200 0.022 0.060
2.54 BSC 1.65 0.20 2.92 2.16 0.38 3.43
0.100 BSC 0.065 0.008 0.115 0.085 0.015 0.135
15.24 BSC 0 0.51 15 1.02
0.600 BSC 0 0.020 15 0.040
Figure 5-1. Plastic DIP Dimensions
MC145540DW 28-LEAD WIDE BODY PLASTIC SOG CASE 751F-03
NOTES: 1. DIMENSIONS A AND B ARE DATUMS AND T IS A DATUM SURFACE. 2. DIMENSIONING AND TOLERANCING PER ANSI Y14.5M, 1982. 3. 4. CONTROLLING DIMENSION: MILLIMETER. DIMENSION A AND B DO NOT INCLUDE MOLD PROTRUSION. 5. MAXIMUM MOLD PROTRUSION 0.15 (0.006) PER SIDE.
DIM A B C D F G J K M P R
MILLIMETERS MIN MAX
17.80 7.40 2.35 0.35 0.41 18.05 7.60 2.65 0.49 0.90
INCHES MIN MAX
0.701 0.292 0.093 0.014 0.016 0.711 0.299 0.104 0.019 0.035
1.27 BSC 0.229 0.127 0 0.317 0.292 8
0.050 BSC 0.0090 0.0050 0 0.0125 0.0115 8

10.05 0.25
10.55 0.75
0.395 0.010
0.415 0.029
Figure 5-2. Plastic SOG Dimensions
MOTOROLA
MC145540
5-1
5-2
MC145540
MOTOROLA
APPLICATION CIRCUITS
6
+3V 1 k 68 F 20 k 1 k 1 k 1.0 F 20 k 0.1 F 150 RINGER 20 k +3 V TG TI TI + VAG RO AXO AXO + 0.1 F 0.1 F 3 k RECEIVER 150 VDSP VEXT PI PO PO + PDI/RESET SCP EN 1 2 3 4 5 6 7 8 9 10 11 12 13 14
1 k 1.0 F MIC
*
28 27 26 25 24 23 22 21 20 19 18 17 16 15
VDD FSR BCLKR DR C1+ C1VSS SPC DT BCLKT FST SCP RX SCP TX SCP CLK TO MICROCONTROLLER SERIAL PERIPHERAL INTERFACE PORT AND RESET CIRCUIT 20.736 MHz ADPCM OUT 2.048 MHz 8 kHz 0.1 F ADPCM IN 1.0 F
MC145540
Figure 6-1. MC145540 Handset Application
MOTOROLA
MC145540
6-1
10 k 10 k
TG TI TI +
1 2 3 4 5 6 7 8 9
*
28 27 26 25 24 23 22 21 20 19 18 17 16 15
VDD FSR BCLKR DR C1+ C1VSS SPC DT BCLKT FST SCP RX SCP TX SCP CLK NC NC
+5V 0.1 F
0.1 F
VAG RO NC AXO AXO + VDSP VEXT PI PO PO +
ADPCM IN
20 k 0.1 F +5V 0.1 F 10 k TIP R0 = 600 N=1 RING 150 N = 0.5
NC
20.48 MHz ADPCM OUT 2.048 MHz 8 kHz
10 11 12 13 14
PDI/RESET SCP EN
MC145540
TO MICROCONTROLLER SERIAL PERIPHERAL INTERFACE PORT AND RESET CIRCUIT
Figure 6-2. MC145540 Transformer Application
10 k 10 k
TG TI TI +
1 2 3 4 5 6 7 8 9
*
28 27 26 25 24 23 22 21 20 19 18 17 16 15
VDD FSR BCLKR DR C1+ C1VSS SPC DT BCLKT FST SCP RX SCP TX SCP CLK NC NC
+5V 0.1 F
0.1 F SPEAKER
VAG RO 150 AXO AXO +
ADPCM IN
20 k +5V
0.1 F 0.1 F 20 k
VDSP VEXT PI PO PO +
20.736 MHz ADPCM OUT 2.048 MHz 8 kHz
10 11 12 13 14
TIP RO = 600 N = 1 RING N=1
600
PDI/RESET SCP EN
MC145540
TO MICROCONTROLLER SERIAL PERIPHERAL INTERFACE PORT AND RESET CIRCUIT
Figure 6-3. MC145540 Transformer + Speaker Application 6-2 MC145540 MOTOROLA
PCB LAYOUT GUIDELINES
7
7.1
INTRODUCTION
The MC145540 is manufactured using high speed CMOS VLSI technology to implement the complex analog and digital signal processing functions of an ADPCM Codec. The fully differential analog circuit design techniques used for this device result in superior performance for the switched capacitor filters, the analog-to-digital converter (ADC) and the digital-to-analog converter (DAC). Special attention was given to the design of this device to reduce the sensitivities to noise, including power supply rejection and susceptibility to radio frequency noise. This special attention to design includes a fifth order lowpass filter, followed by a third order high-pass filter whose output is converted to a digital signal with greater than 75 dB of dynamic range, all operating on a single 5 V power supply. This results in a MuLaw LSB size for small audio signals of about 386 mV. The typical idle channel noise level of this device is less than one LSB. In addition to the dynamic range of the codec/filter function of this device, the input gain-setting op amp has the capability of greater than 30 dB gain intended for an electret microphone interface.
7.2
PC BOARD MOUNTING
It is recommended that the device be soldered to the PC board for optimum noise performance. If the device is to be used in a socket, it should be placed in a low parasitic pin inductance (generally low profile) socket.
7.3
POWER SUPPLY, GROUND, AND NOISE CONSIDERATIONS
This device is intended to be used in switching applications that often require plugging the PC board into a rack with power applied. This is known as "hot-rack insertion." In these applications care should be taken to limit the voltage on any pin from going positive of the VDD pins or negative of the VSS pins. One method is to extend the ground and power contacts of the PCB connector. The device has input protection on all pins and may source or sink a limited amount of current without damage. Current limiting may be accomplished by series resistors between the signal pins and the connector contacts. The most important considerations for PCB layout deal with noise. This includes noise on the power supply, noise generated by the digital circuitry on the device, and cross coupling digital or radio frequency signals into the audio signals of this device. The best way to prevent noise is to: 1. Keep digital signals as far away from audio signals as possible. 2. Keep radio frequency signals as far away from the audio signals as possible. 3. Use short, low inductance traces for the audio circuitry to reduce inductive, capacitive, and radio frequency noise sensitivities. 4. Use short, low inductance traces for digital and RF circuitry to reduce inductive, capacitive, and radio frequency radiated noise. 5. Connect bypass capacitors from the VDD, VDSP and VAG pins to VSS with minimal trace length. Ceramic monolithic capacitors of about 0.1 F are acceptable to decouple the device from its own noise. The VDD capacitor should be about 1.0 F when using the charge pump. This larger value of capacitance is needed to operate as a filter for the current pulses from the charge pump and as a current reservoir for powering the VDD circuitry while the transfer capacitor, C1 is being
MOTOROLA
MC145540
7-1
charged. C1 handles relatively large current pulses and should have short traces from the device. The VDSP decoupling capacitor helps supply the instantaneous currents of the digital signal processor circuitry in addition to decoupling the noise that may be generated by other sections of the device or other circuitry on the power supply. The VAG decoupling capacitor helps to reduce the impedance of the VAG pin to VSS at frequencies above the bandwidth of the VAG generator, which reduces the susceptibility to RF noise. 6. Use a short, wide, low inductance trace to connect the VSS ground pin to the power supply ground. The VSS pin is the digital ground and the most negative power supply pin for the analog circuitry. All analog signal processing is referenced to the VAG pin, but because digital and RF circuitry will probably be powered by this same ground, care must be taken to minimize high frequency noise in the VSS trace. Depending on the application, a double sided PCB with a VSS ground plane connecting all of the digital and analog VSS pins together would be a good grounding method. A multilayer PC board with a ground plane connecting all of the digital and analog VSS pins together would be the optimal ground configuration. These methods will result in the lowest resistance and the lowest inductance in the ground circuit. This is important to reduce voltage spikes in the ground circuit resulting from the high speed digital current spikes. The magnitude of digitally induced voltage spikes may be hundreds of times larger than the analog signal the device is required to digitize. 7. Use a short, wide, low inductance trace to connect the VEXT power supply pin to the positive power supply. Depending on the application, a double sided PCB with bypass capacitors to the VSS ground plane, as described above, may complete the low impedance coupling for the power supply. For a multilayer PC board with a power plane, connecting all of the positive power supply pins to the power plane would be the optimal power distribution method. The integrated circuit layout and packaging considerations for the positive power supply circuit are essentially the same as for the VSS ground circuit. 8. The VAG pin is the reference for all analog signal processing. In some applications the audio signal to be digitized may be referenced to the VSS ground. To reduce the susceptibility to noise at the input of the ADC section, the three terminal op amp may be used in a differential to single ended circuit to provide level conversion from the VSS ground to the VAG ground with noise cancellation. The op amp may be used for more than 30 dB of gain in microphone interface circuits, which will require a compact layout with minimum trace lengths as well as isolation from noise sources. It is recommended that the layout be as symmetrical as possible to avoid any imbalances that would reduce the noise cancelling benefits of this differential op amp circuit. Refer to the application schematics for examples of this circuitry. 9. The MC145540 is fabricated with advanced high speed CMOS technology that is capable of responding to noise pulses on the clock pins of 1 ns or less. It should be noted that noise pulses of such short duration may not be seen with oscilloscopes that have less bandwidth than 600 MHz. The most often encountered sources of clock noise spikes are inductive or capacitive coupling of high-speed logic signals, and ground bounce. The best solution for addressing clock spikes due to coupling, is to separate the traces and use short low inductance PC board traces. To address ground bounce problems, all integrated circuits should have high frequency bypass capacitors directly across their power supply pins, with low inductance traces for ground and power supply. A less than optimum solution may be to limit the bandwidth of the trace by adding series resistance and/or capacitance at the input pin. If possible, reference audio signals to the VAG pin instead of to the VSS pin. Handset receivers and telephone line interface circuits using transformers may be audio signal referenced completely to the VAG pin. Refer to the application schematics for examples of this circuitry. The VAG pin cannot be used for ESD and telephone line protection.
7-2
MC145540
MOTOROLA
PROGRAMMING THE MC145540 TONE GENERATORS
8
8.1
INTRODUCTION
The Individual tones of the tone generator are calculated by the digital signal processor based on an Infinite Impulse Response (IIR) algorithm using 13-bit linear math. All of the internal memory locations for the tone generator coefficients and algorithms are used for the ADPCM decoder routine and their contents are lost during ADPCM decoder operation. ADPCM decoder operations are performed when the Tone Enable bit, BR7(b3) is a 0. Frequency and attenuation coefficients must be programmed after BR7(b3) has been low. If BR7(b3) is kept high, either of the tones may be turned off and back on by BR7(b1:b0) without reprogramming the frequency and attenuation coefficients. The attenuation coefficients may be reprogrammed while BR7(b3) is a logical one for each tone whether the tone is on or off. The frequency of a tone should not be reprogrammed while it is on. To change the frequency of a tone, the tone generation algorithm needs to execute an initialization routine to develop the internal previous samples to load the IIR algorithm. This initialization routine for tone generator 1 is executed while BR7(b3) is a one and BR7(b1) transitions from a 0 to a 1. The logic state of BR7(b0) does not matter for tone generator 1. Similarly, this initialization routine for tone generator 2 is executed while BR7(b3) is a one and BR7(b0) transitions from a 0 to a 1. The logic state of BR7(b1) does not matter for tone generator 2. Both algorithms will be initialized if BR7(b3) is a logic one and BR7(1:0) are written to a logic 1 at the same time. If the frequency coefficient is changed and this initialization routine is not executed, the IIR algorithm could become unstable and generate a signal other than a clean sinusoid of the desired amplitude. The following procedure outlines the programing sequence for the tone generator. 1. Program the Tone Enable bit, BR7(b3) to a one. This turns on the tone generator routine and turns off the ADPCM decoder routine. To avoid noise from the tone generator, BR7(1:0) should be written to zeroes. The logic states of BR7(b7, b6, b5, b4, and b2) do not matter while BR7(b3) is a 1. 2. Program the coefficients for frequency and attenuation. The tone generator may be programmed for frequency or attenuation for either tone in any order while BR7(1), (Tone 1 Enable) and BR7(0), (Tone 2 Enable) bits are zeroes. The 12-bit coefficients must be programmed first with the 8 least significant bits (LSB) in BR4 then the 4 most significant bits (MSB) are to be programmed into the 4 LSB of BR5. BR5 must also be programmed with the tone generator address parameter to tell the device the destination of the 12-bit coefficient. The tone generator address parameter is programmed into the 2 MSB of BR5 during the same write cycle as the 4 MSB of the 12-bit coefficient. Table 8-1 shows the tone generator address parameter destinations.
MOTOROLA
MC145540
8-1
Table 8-1. Tone Generator Address Parameter Destinations
b7 0 0 1 1 b6 0 1 0 1 Tone 1, Frequency Coefficient Tone 1, Attenuation Coefficient Tone 2, Frequency Coefficient Tone 2, Attenuation Coefficient Destination
3. BR7(b7) should be monitored for a logic zero before writing another BR4 plus BR5 coefficient. The device can accept a coefficient from the combination of BR4 and BR5 once every FST cycle, which is 125 s. The typical write period for a non-synchronized microprocessor should not be faster than every 250 s. 4. BR7(1:0) may be programmed to logical ones to turn on tone 1 and tone 2. BR7(b3) must be programmed to a logical 1.
8.1.1
Programing the Tone Generator
This is an example of programing the tone generator to generate the DTMF pair of row 1 (697 Hz) at an amplitude of - 14 dBm (600) and column 2 (1336 Hz) at an amplitude of - 12 dBm (600).
Select the tone generator routine. Program BR7 with: 0000 1000 Program the 12-bit frequency coefficient for 697 Hz for tone 1. This section has examples of calculating this frequency coefficient of HEX 6 D5. Program BR4 with: 1101 0101 Program BR5 with: 0000 0110 Read BR7(7) and check for a zero to confirm that the DSP machine has latched the data from BR4 and BR5. Program the 12-bit attenuation coefficient for - 14 dBm (600) for tone 1. This section has an example of calculating this attenuation coefficient of HEX 1 1A. Program BR4 with: 0001 1010 Program BR5 with: 0100 0001 Read BR7(7) and check for a zero to confirm that the DSP machine has latched the data from BR4 and BR5. Program the 12-bit frequency coefficient for 1336 Hz for tone 2. Table 8-2 is a complete table of frequency coefficients which gives a coefficient for a 1336.20 Hz frequency of HEX 3 FC. Program BR4 with: 1111 1100 Program BR5 with: 1000 0011 Read BR7(7) and check for a zero to confirm that the DSP machine has latched the data from BR4 and BR5. Program the 12-bit attenuation coefficient for - 12 dBm (600) for tone 2. Table 8-3 is a complete table of attenuation coefficients which gives a coefficient for an amplitude - 12.01 dBm (600) of HEX 1 63. Program BR4 with: 0110 0011 Program BR5 with: 1100 0001
8-2
MC145540
MOTOROLA
Read BR7(7) and check for a zero to confirm that the DSP machine has latched the data from BR4 and BR5. Turn on tone 1 and tone 2 with BR7(b3, b1, and b0) to logical 1s. Recall that B7(b3) must be a logical 1 also during all tone generator functions including programing. Program BR7 with: 0000 1011
8.1.2
Tone Frequency Coefficient Calculation.
The tone generator frequency is based on a filter which is programmed by the equation cos(2*pi*f*0.000125). The form of this data is a 12-bit (two's complement) coefficient. An example of calculating the binary or hexadecimal coefficient for 697 hertz is this:
cos(2*pi*f*0.000125) cos(2*pi*(697)*0.000125) cos(2*(3.14159)*(697)*0.000125) cos(0.547422 radians) = 0.853869
To convert this number into a 12-bit two's complement binary number whose most significant bit is the sign information (1 is negative) and remaining 11-bits are the fractional part, (0.853869) must be converted to a fractional binary number with 11 bits of significance. The successive approximation register (SAR) method of converting from decimal to binary is used as an example.
(0.853869) is positive, Therefore: coefficient = binary 0.XXX XXXX XXXX 0.853869 - (2 exp-1) = 0.853869 - 0.5 = 0.353869 Therefore: coefficient = binary 0.1XX XXXX XXXX 0.353869 - (2 exp-2) = 0.353869 - 0.25 = 0.103869 Therefore: coefficient = binary 0.11X XXXX XXXX 0.103869 - (2 exp-3) = 0.103869 - 0.125 = - 0.021131 This changed the sign of the result and Therefore: coefficient = binary 0.110 XXXX XXXX 0.103869 - (2 exp-4) = 0.103869 - 0.0625 = 0.041369 Therefore: coefficient = binary 0.110 1XXX XXXX 0.041369 - (2 exp-5) = 0.041369 - 0.03125 = 0.010119 Therefore: coefficient = binary 0.110 11XX XXXX 0.010119 - (2 exp-6) = 0.010119 - 0.015625 = - 0.005506 This changed the sign of the result and therefore: coefficient = binary 0.110 110X XXXX 0.010119 - (2 exp-7) = 0.010119 - 0.0078125 = 0.0023065 Therefore: coefficient = binary 0.110 1101 XXXX 0.0023065 - (2 exp-8) = 0.0023065 - 0.00390625 = - 0.00159975 This changed the sign of the result and Therefore: coefficient = binary 0.110 1101 0XXX 0.0023065 - (2 exp-9) = 0.0023065 - 0.001953125 = 0.000353375 Therefore: coefficient = binary 0.110 1101 01XX 0.000353375 - (2 exp-10) = 0.000353375 - 0.0009765625 = - 0.0006231875 This changed the sign of the result and Therefore: coefficient = binary 0.110 1101 010X
MOTOROLA
MC145540
8-3
0.000353375 - (2 exp-11) = 0.000353375 - 0.00048828125 = - .00013490625 This changed the sign of the result and Therefore: coefficient = binary 0.110 1101 0100
This is the last bit and to make sure that we have the correct value for the LSB, one bit beyond the LSB must be determined for round-off error minimization. Therefore:
0.000353375 This result one half of changes the - (2 exp-12) = 0.000353375 - 0.000244140625 = 0.000109234375 has a positive sign meaning that the remainder is greater than the LSB and to minimize error, the LSB should be a one. This result and therefore: coefficient = binary 0.110 1101 0101 is Hexadecimal 06 D5
Which binary 0.110 1101 0101
8.1.3
Tone Frequency Coefficient Calculation using Integer Mathematics for Decimal to Hexadecimal Conversion.
The math to convert from decimal to binary for the frequency coefficient calculations may be kept in integer form given that this device uses a coefficient that has 11-bits of fractional component. This is accomplished by multiplying the result of the cosine function (which is in decimal form) by 211, or 2048. The round-off error minimization is accomplished by rounding-off the fractional component after multiplying by 2048. This example helps to clarify this procedure by calculating the binary or hexadecimal coefficient for 697 hertz.
cos(2*pi*f*0.000125) cos(2*pi*(697)*0.000125) cos(2*(3.14159)*(697)*0.000125) cos(0.547422 radians) = 0.853869 Multiply by 2048 0.853869 * 2048 = 1748.723712 Round-off error minimization (the digit to the right of the decimal point is greater than or equal to 5). Therefore: 1749 725 213 213 85 21 21 5 5 1 1 - 1024 - 512 - 256 - 128 - 64 - 32 - 16 - 8 - 4 - 2 - 1 = = = = = = = = = = = 725 213 -43 85 21 -11 5 -3 1 -1 0 > > > > > > > > > > > binary binary binary binary binary binary binary binary binary binary binary 0.1XX 0.11X 0.110 0.110 0.110 0.110 0.110 0.110 0.110 0.110 0.110 XXXX XXXX XXXX 1XXX 11XX 110X 1101 1101 1101 1101 1101 XXXX XXXX XXXX XXXX XXXX XXXX XXXX 0XXX 01XX 010X 0101
Therefore: coefficient = binary 0.110 1101 0101 Which binary 0.110 1101 0101 is hexadecimal 06 D5
8.1.4
Tone Attenuation Coefficient Calculation
This is an example of calculating the attenuation coefficient for an output amplitude of - 14 dBm (600) for one of the tone generators. The tone attenuation is accomplished by an 11-bit linear multiply of the output from each of the IIR frequency algorithms. The format of the attenuation coefficient is a 12-bit 2's complement number with bits (10:0) being the fractional part and bit (11) being the sign information. These attenuation coefficients should be limited to values between zero (binary 0.000 0000 0000 or hexadecimal 0 00) and almost positive 1 (binary 0.111 1111 1111 or hexadecimal 7 FF). Note that this scaling always results in attenuation of the tones.
8-4
MC145540
MOTOROLA
The amplitude from the frequency IIR algorithm is:
0.775 Vrms*(3.17 dB)*8192/8159 = 1.1209 Vrms 3.17 dB = 10exp(3.17/20) V/V = 1.44046 V/V Where: 1. 0.775 Vrms is 0 dBm0 for this device. 2. 3.17 dB is the amplitude headroom for a tone relative to the Mu-Law reference level of 0 dBm0. 3. 8192/8159 is the increase in amplitude for 13-bit linear compared to Mu-Law companding.
The maximum amplitude from either tone 1 or tone 2 is:
1.1209 Vrms*2047/2048=1.1204 Vrms Where: 4. 2047/2048 is the maximum output ratio for the attenuation routine.
To calculate - 14 dBm (600), start with the equation for dBm in volts, and solve for output voltage.
dBm=10*Log[(Vrms2/R)/1mW] dBm/10=Log[(Vrms2/R)/1mW] 10exp(dBm/10)=(Vrms2/R)/1mW=(Vrms2)/(R*1mW) [10exp(dBm/10)]*(R*1mW)=Vrms2 sqrt{[10exp(dBm/10)]*(R*1mW)}=Vrms Vrms=sqrt{[10exp(dBm/10)]*(600*1mW)}
Using this equation to calculate the voltage for a - 14 dBm amplitude.
Vrms(-14dBm)=sqrt{[10exp(-14/10)]*(600*0.001)} Vrms(-14dBm)=0.1546 Vrms
The ratio of this desired voltage divided by the maximum tone amplitude gives the tone coefficient, when this ratio is converted to hexadecimal. The tone coefficient requires an 11-bit fraction, which may be converted to hexadecimal using the same procedures as the frequency coefficient.
0.1546 Vrms/1.1209 Vrms = 0.137924882
Converting to Hexadecimal for 11-bit fraction.
0.137924882*2048=282 Decimal 282 = Hexadecimal 1 1A
Tables 8-2 and 8-3 show the frequency coefficients and attenuation coefficients for the tone generator.
MOTOROLA
MC145540
8-5
Table 8-2. Frequency Coefficients for Tone Generator
HEX BR5 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 HEX BR4 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 HEX BR5 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 HEX BR4 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 HEX BR5 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 HEX BR4 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96
BCD -2047 -2046 -2045 -2044 -2043 -2042 -2041 -2040 -2039 -2038 -2037 -2036 -2035 -2034 -2033 -2032 -2031 -2030 -2029 -2028 -2027 -2026 -2025 -2024 -2023 -2022 -2021 -2020 -2019 -2018 -2017 -2016 -2015 -2014 -2013 -2012 -2011 -2010 -2009 -2008 -2007 -2006 -2005 -2004 -2003 -2002 -2001 -2000 -1999 -1998
FREQUENCY 3,960.21 3,943.73 3,931.08 3,920.41 3,911.01 3,902.51 3,894.70 3,887.42 3,880.59 3,874.13 3,867.98 3,862.10 3,856.46 3,851.04 3,845.80 3,840.74 3,835.83 3,831.07 3,826.43 3,821.91 3,817.51 3,813.21 3,809.00 3,804.88 3,800.85 3,796.90 3,793.02 3,789.22 3,785.48 3,781.80 3,778.19 3,774.63 3,771.12 3,767.67 3,764.27 3,760.92 3,757.61 3,754.34 3,751.12 3,747.94 3,744.80 3,741.70 3,738.63 3,735.60 3,732.60 3,729.63 3,726.70 3,723.79 3,720.92 3,718.08
BCD -1997 -1996 -1995 -1994 -1993 -1992 -1991 -1990 -1989 -1988 -1987 -1986 -1985 -1984 -1983 -1982 -1981 -1980 -1979 -1978 -1977 -1976 -1975 -1974 -1973 -1972 -1971 -1970 -1969 -1968 -1967 -1966 -1965 -1964 -1963 -1962 -1961 -1960 -1959 -1958 -1957 -1956 -1955 -1954 -1953 -1952 -1951 -1950 -1949 -1948
FREQUENCY 3,715.26 3,712.47 3,709.71 3,706.97 3,704.25 3,701.57 3,698.90 3,696.26 3,693.64 3,691.04 3,688.46 3,685.91 3,683.37 3,680.86 3,678.36 3,675.88 3,673.42 3,670.98 3,668.55 3,666.15 3,663.76 3,661.38 3,659.03 3,656.69 3,654.36 3,652.05 3,649.75 3,647.47 3,645.20 3,642.95 3,640.71 3,638.48 3,636.27 3,634.07 3,631.89 3,629.71 3,627.55 3,625.40 3,623.26 3,621.13 3,619.02 3,616.92 3,614.82 3,612.74 3,610.67 3,608.61 3,606.56 3,604.52 3,602.49 3,600.48
BCD -1947 -1946 -1945 -1944 -1943 -1942 -1941 -1940 -1939 -1938 -1937 -1936 -1935 -1934 -1933 -1932 -1931 -1930 -1929 -1928 -1927 -1926 -1925 -1924 -1923 -1922 -1921 -1920 -1919 -1918 -1917 -1916 -1915 -1914 -1913 -1912 -1911 -1910 -1909 -1908 -1907 -1906 -1905 -1904 -1903 -1902 -1901 -1900 -1899 -1898
FREQUENCY 3,598.47 3,596.47 3,594.48 3,592.50 3,590.52 3,588.56 3,586.61 3,584.66 3,582.73 3,580.80 3,578.88 3,576.97 3,575.07 3,573.18 3,571.29 3,569.41 3,567.54 3,565.68 3,563.83 3,561.98 3,560.14 3,558.31 3,556.48 3,554.66 3,552.85 3,551.05 3,549.25 3,547.46 3,545.68 3,543.90 3,542.13 3,540.37 3,538.61 3,536.86 3,535.12 3,533.38 3,531.65 3,529.92 3,528.20 3,526.49 3,524.78 3,523.08 3,521.38 3,519.69 3,518.01 3,516.33 3,514.65 3,512.99 3,511.32 3,509.67
8-6
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 HEX BR4 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 HEX BR5 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 8 HEX BR4 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA HEX BR5 8 8 8 8 8 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 HEX BR4 FB FC FD FE FF 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C
BCD -1897 -1896 -1895 -1894 -1893 -1892 -1891 -1890 -1889 -1888 -1887 -1886 -1885 -1884 -1883 -1882 -1881 -1880 -1879 -1878 -1877 -1876 -1875 -1874 -1873 -1872 -1871 -1870 -1869 -1868 -1867 -1866 -1865 -1864 -1863 -1862 -1861 -1860 -1859 -1858 -1857 -1856 -1855 -1854 -1853 -1852 -1851 -1850 -1849 -1848
FREQUENCY 3,508.01 3,506.37 3,504.72 3,503.09 3,501.46 3,499.83 3,498.21 3,496.59 3,494.98 3,493.37 3,491.77 3,490.17 3,488.58 3,486.99 3,485.41 3,483.83 3,482.26 3,480.69 3,479.12 3,477.56 3,476.00 3,474.45 3,472.91 3,471.36 3,469.82 3,468.29 3,466.76 3,465.23 3,463.71 3,462.19 3,460.67 3,459.16 3,457.66 3,456.15 3,454.66 3,453.16 3,451.67 3,450.18 3,448.70 3,447.22 3,445.74 3,444.27 3,442.80 3,441.34 3,439.87 3,438.42 3,436.96 3,435.51 3,434.06 3,432.62
BCD -1847 -1846 -1845 -1844 -1843 -1842 -1841 -1840 -1839 -1838 -1837 -1836 -1835 -1834 -1833 -1832 -1831 -1830 -1829 -1828 -1827 -1826 -1825 -1824 -1823 -1822 -1821 -1820 -1819 -1818 -1817 -1816 -1815 -1814 -1813 -1812 -1811 -1810 -1809 -1808 -1807 -1806 -1805 -1804 -1803 -1802 -1801 -1800 -1799 -1798
FREQUENCY 3,431.18 3,429.74 3,428.31 3,426.88 3,425.45 3,424.03 3,422.60 3,421.19 3,419.77 3,418.36 3,416.95 3,415.55 3,414.15 3,412.75 3,411.35 3,409.96 3,408.57 3,407.19 3,405.80 3,404.42 3,403.05 3,401.67 3,400.30 3,398.93 3,397.57 3,396.20 3,394.84 3,393.49 3,392.13 3,390.78 3,389.43 3,388.08 3,386.74 3,385.40 3,384.06 3,382.73 3,381.39 3,380.06 3,378.74 3,377.41 3,376.09 3,374.77 3,373.45 3,372.14 3,370.83 3,369.52 3,368.21 3,366.90 3,365.60 3,364.30
BCD -1797 -1796 -1795 -1794 -1793 -1792 -1791 -1790 -1789 -1788 -1787 -1786 -1785 -1784 -1783 -1782 -1781 -1780 -1779 -1778 -1777 -1776 -1775 -1774 -1773 -1772 -1771 -1770 -1769 -1768 -1767 -1766 -1765 -1764 -1763 -1762 -1761 -1760 -1759 -1758 -1757 -1756 -1755 -1754 -1753 -1752 -1751 -1750 -1749 -1748
FREQUENCY 3,363.01 3,361.71 3,360.42 3,359.13 3,357.84 3,356.56 3,355.27 3,353.99 3,352.71 3,351.44 3,350.16 3,348.89 3,347.62 3,346.36 3,345.09 3,343.83 3,342.57 3,341.31 3,340.05 3,338.80 3,337.55 3,336.30 3,335.05 3,333.81 3,332.56 3,331.32 3,330.08 3,328.85 3,327.61 3,326.38 3,325.15 3,323.92 3,322.69 3,321.47 3,320.24 3,319.02 3,317.81 3,316.59 3,315.37 3,314.16 3,312.95 3,311.74 3,310.53 3,309.33 3,308.12 3,306.92 3,305.72 3,304.53 3,303.33 3,302.14
MOTOROLA
MC145540
8-7
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 HEX BR4 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E HEX BR5 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 HEX BR4 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 HEX BR5 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 HEX BR4 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2
BCD -1747 -1746 -1745 -1744 -1743 -1742 -1741 -1740 -1739 -1738 -1737 -1736 -1735 -1734 -1733 -1732 -1731 -1730 -1729 -1728 -1727 -1726 -1725 -1724 -1723 -1722 -1721 -1720 -1719 -1718 -1717 -1716 -1715 -1714 -1713 -1712 -1711 -1710 -1709 -1708 -1707 -1706 -1705 -1704 -1703 -1702 -1701 -1700 -1699 -1698
FREQUENCY 3,300.94 3,299.75 3,298.57 3,297.38 3,296.19 3,295.01 3,293.83 3,292.65 3,291.47 3,290.30 3,289.12 3,287.95 3,286.78 3,285.61 3,284.44 3,283.27 3,282.11 3,280.95 3,279.79 3,278.63 3,277.47 3,276.31 3,275.16 3,274.01 3,272.86 3,271.71 3,270.56 3,269.41 3,268.27 3,267.13 3,265.99 3,264.85 3,263.71 3,262.57 3,261.44 3,260.30 3,259.17 3,258.04 3,256.91 3,255.78 3,254.66 3,253.53 3,252.41 3,251.29 3,250.17 3,249.05 3,247.93 3,246.82 3,245.70 3,244.59
BCD -1697 -1696 -1695 -1694 -1693 -1692 -1691 -1690 -1689 -1688 -1687 -1686 -1685 -1684 -1683 -1682 -1681 -1680 -1679 -1678 -1677 -1676 -1675 -1674 -1673 -1672 -1671 -1670 -1669 -1668 -1667 -1666 -1665 -1664 -1663 -1662 -1661 -1660 -1659 -1658 -1657 -1656 -1655 -1654 -1653 -1652 -1651 -1650 -1649 -1648
FREQUENCY 3,243.48 3,242.37 3,241.26 3,240.15 3,239.05 3,237.94 3,236.84 3,235.74 3,234.64 3,233.54 3,232.44 3,231.35 3,230.25 3,229.16 3,228.07 3,226.98 3,225.89 3,224.80 3,223.72 3,222.63 3,221.55 3,220.46 3,219.38 3,218.30 3,217.22 3,216.15 3,215.07 3,214.00 3,212.92 3,211.85 3,210.78 3,209.71 3,208.64 3,207.58 3,206.51 3,205.45 3,204.38 3,203.32 3,202.26 3,201.20 3,200.14 3,199.08 3,198.03 3,196.97 3,195.92 3,194.87 3,193.82 3,192.77 3,191.72 3,190.67
BCD -1647 -1646 -1645 -1644 -1643 -1642 -1641 -1640 -1639 -1638 -1637 -1636 -1635 -1634 -1633 -1632 -1631 -1630 -1629 -1628 -1627 -1626 -1625 -1624 -1623 -1622 -1621 -1620 -1619 -1618 -1617 -1616 -1615 -1614 -1613 -1612 -1611 -1610 -1609 -1608 -1607 -1606 -1605 -1604 -1603 -1602 -1601 -1600 -1599 -1598
FREQUENCY 3,189.62 3,188.58 3,187.53 3,186.49 3,185.45 3,184.41 3,183.37 3,182.33 3,181.29 3,180.25 3,179.22 3,178.19 3,177.15 3,176.12 3,175.09 3,174.06 3,173.03 3,172.00 3,170.98 3,169.95 3,168.93 3,167.91 3,166.88 3,165.86 3,164.84 3,163.82 3,162.81 3,161.79 3,160.77 3,159.76 3,158.75 3,157.73 3,156.72 3,155.71 3,154.70 3,153.69 3,152.69 3,151.68 3,150.67 3,149.67 3,148.67 3,147.66 3,146.66 3,145.66 3,144.66 3,143.66 3,142.67 3,141.67 3,140.67 3,139.68
8-8
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 9 HEX BR4 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 HEX BR5 9 9 9 9 9 9 9 9 9 9 9 A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A HEX BR4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 HEX BR5 A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A HEX BR4 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58
BCD -1597 -1596 -1595 -1594 -1593 -1592 -1591 -1590 -1589 -1588 -1587 -1586 -1585 -1584 -1583 -1582 -1581 -1580 -1579 -1578 -1577 -1576 -1575 -1574 -1573 -1572 -1571 -1570 -1569 -1568 -1567 -1566 -1565 -1564 -1563 -1562 -1561 -1560 -1559 -1558 -1557 -1556 -1555 -1554 -1553 -1552 -1551 -1550 -1549 -1548
FREQUENCY 3,138.69 3,137.69 3,136.70 3,135.71 3,134.72 3,133.73 3,132.75 3,131.76 3,130.77 3,129.79 3,128.80 3,127.82 3,126.84 3,125.86 3,124.88 3,123.90 3,122.92 3,121.94 3,120.96 3,119.99 3,119.01 3,118.04 3,117.07 3,116.10 3,115.12 3,114.15 3,113.18 3,112.22 3,111.25 3,110.28 3,109.31 3,108.35 3,107.39 3,106.42 3,105.46 3,104.50 3,103.54 3,102.58 3,101.62 3,100.66 3,099.70 3,098.75 3,097.79 3,096.83 3,095.88 3,094.93 3,093.97 3,093.02 3,092.07 3,091.12
BCD -1547 -1546 -1545 -1544 -1543 -1542 -1541 -1540 -1539 -1538 -1537 -1536 -1535 -1534 -1533 -1532 -1531 -1530 -1529 -1528 -1527 -1526 -1525 -1524 -1523 -1522 -1521 -1520 -1519 -1518 -1517 -1516 -1515 -1514 -1513 -1512 -1511 -1510 -1509 -1508 -1507 -1506 -1505 -1504 -1503 -1502 -1501 -1500 -1499 -1498
FREQUENCY 3,090.17 3,089.23 3,088.28 3,087.33 3,086.39 3,085.44 3,084.50 3,083.55 3,082.61 3,081.67 3,080.73 3,079.79 3,078.85 3,077.91 3,076.97 3,076.03 3,075.10 3,074.16 3,073.23 3,072.29 3,071.36 3,070.43 3,069.49 3,068.56 3,067.63 3,066.70 3,065.77 3,064.85 3,063.92 3,062.99 3,062.07 3,061.14 3,060.22 3,059.29 3,058.37 3,057.45 3,056.53 3,055.61 3,054.69 3,053.77 3,052.85 3,051.93 3,051.01 3,050.10 3,049.18 3,048.27 3,047.35 3,046.44 3,045.53 3,044.62
BCD -1497 -1496 -1495 -1494 -1493 -1492 -1491 -1490 -1489 -1488 -1487 -1486 -1485 -1484 -1483 -1482 -1481 -1480 -1479 -1478 -1477 -1476 -1475 -1474 -1473 -1472 -1471 -1470 -1469 -1468 -1467 -1466 -1465 -1464 -1463 -1462 -1461 -1460 -1459 -1458 -1457 -1456 -1455 -1454 -1453 -1452 -1451 -1450 -1449 -1448
FREQUENCY 3,043.70 3,042.79 3,041.88 3,040.97 3,040.07 3,039.16 3,038.25 3,037.34 3,036.44 3,035.53 3,034.63 3,033.72 3,032.82 3,031.92 3,031.02 3,030.12 3,029.22 3,028.32 3,027.42 3,026.52 3,025.62 3,024.72 3,023.83 3,022.93 3,022.04 3,021.14 3,020.25 3,019.35 3,018.46 3,017.57 3,016.68 3,015.79 3,014.90 3,014.01 3,013.12 3,012.23 3,011.34 3,010.46 3,009.57 3,008.69 3,007.80 3,006.92 3,006.03 3,005.15 3,004.27 3,003.39 3,002.50 3,001.62 3,000.74 2,999.86
MOTOROLA
MC145540
8-9
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A HEX BR4 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 87 88 89 8A HEX BR5 A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A HEX BR4 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC HEX BR5 A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A HEX BR4 BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE
BCD -1447 -1446 -1445 -1444 -1443 -1442 -1441 -1440 -1439 -1438 -1437 -1436 -1435 -1434 -1433 -1432 -1431 -1430 -1429 -1428 -1427 -1426 -1425 -1424 -1423 -1422 -1421 -1420 -1419 -1418 -1417 -1416 -1415 -1414 -1413 -1412 -1411 -1410 -1409 -1408 -1407 -1406 -1405 -1404 -1403 -1402 -1401 -1400 -1399 -1398
FREQUENCY 2,998.99 2,998.11 2,997.23 2,996.35 2,995.48 2,994.60 2,993.72 2,992.85 2,991.98 2,991.10 2,990.23 2,989.36 2,988.49 2,987.62 2,986.74 2,985.87 2,985.01 2,984.14 2,983.27 2,982.40 2,981.53 2,980.67 2,979.80 2,978.94 2,978.07 2,977.21 2,976.34 2,975.48 2,974.62 2,973.76 2,972.89 2,972.03 2,971.17 2,970.31 2,969.45 2,968.60 2,967.74 2,966.88 2,966.02 2,965.17 2,964.31 2,963.46 2,962.60 2,961.75 2,960.89 2,960.04 2,959.19 2,958.34 2,957.48 2,956.63
BCD -1397 -1396 -1395 -1394 -1393 -1392 -1391 -1390 -1389 -1388 -1387 -1386 -1385 -1384 -1383 -1382 -1381 -1380 -1379 -1378 -1377 -1376 -1375 -1374 -1373 -1372 -1371 -1370 -1369 -1368 -1367 -1366 -1365 -1364 -1363 -1362 -1361 -1360 -1359 -1358 -1357 -1356 -1355 -1354 -1353 -1352 -1351 -1350 -1349 -1348
FREQUENCY 2,955.78 2,954.93 2,954.08 2,953.23 2,952.39 2,951.54 2,950.69 2,949.84 2,949.00 2,948.15 2,947.31 2,946.46 2,945.62 2,944.77 2,943.93 2,943.09 2,942.25 2,941.40 2,940.56 2,939.72 2,938.88 2,938.04 2,937.20 2,936.37 2,935.53 2,934.69 2,933.85 2,933.02 2,932.18 2,931.34 2,930.51 2,929.67 2,928.84 2,928.01 2,927.17 2,926.34 2,925.51 2,924.68 2,923.85 2,923.01 2,922.18 2,921.35 2,920.52 2,919.70 2,918.87 2,918.04 2,917.21 2,916.39 2,915.56 2,914.73
BCD -1347 -1346 -1345 -1344 -1343 -1342 -1341 -1340 -1339 -1338 -1337 -1336 -1335 -1334 -1333 -1332 -1331 -1330 -1329 -1328 -1327 -1326 -1325 -1324 -1323 -1322 -1321 -1320 -1319 -1318 -1317 -1316 -1315 -1314 -1313 -1312 -1311 -1310 -1309 -1308 -1307 -1306 -1305 -1304 -1303 -1302 -1301 -1300 -1299 -1298
FREQUENCY 2,913.91 2,913.08 2,912.26 2,911.43 2,910.61 2,909.79 2,908.96 2,908.14 2,907.32 2,906.50 2,905.68 2,904.86 2,904.04 2,903.22 2,902.40 2,901.58 2,900.76 2,899.94 2,899.13 2,898.31 2,897.49 2,896.68 2,895.86 2,895.05 2,894.23 2,893.42 2,892.60 2,891.79 2,890.98 2,890.16 2,889.35 2,888.54 2,887.73 2,886.92 2,886.11 2,885.30 2,884.49 2,883.68 2,882.87 2,882.06 2,881.26 2,880.45 2,879.64 2,878.84 2,878.03 2,877.22 2,876.42 2,875.61 2,874.81 2,874.01
8-10
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 A A A A A A A A A A A A A A A A A B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B HEX BR4 EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 HEX BR5 B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B HEX BR4 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 HEX BR5 B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B HEX BR4 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84
BCD -1297 -1296 -1295 -1294 -1293 -1292 -1291 -1290 -1289 -1288 -1287 -1286 -1285 -1284 -1283 -1282 -1281 -1280 -1279 -1278 -1277 -1276 -1275 -1274 -1273 -1272 -1271 -1270 -1269 -1268 -1267 -1266 -1265 -1264 -1263 -1262 -1261 -1260 -1259 -1258 -1257 -1256 -1255 -1254 -1253 -1252 -1251 -1250 -1249 -1248
FREQUENCY 2,873.20 2,872.40 2,871.60 2,870.79 2,869.99 2,869.19 2,868.39 2,867.59 2,866.79 2,865.99 2,865.19 2,864.39 2,863.59 2,862.79 2,862.00 2,861.20 2,860.40 2,859.60 2,858.81 2,858.01 2,857.22 2,856.42 2,855.63 2,854.83 2,854.04 2,853.25 2,852.45 2,851.66 2,850.87 2,850.08 2,849.28 2,848.49 2,847.70 2,846.91 2,846.12 2,845.33 2,844.54 2,843.75 2,842.97 2,842.18 2,841.39 2,840.60 2,839.82 2,839.03 2,838.24 2,837.46 2,836.67 2,835.89 2,835.10 2,834.32
BCD -1247 -1246 -1245 -1244 -1243 -1242 -1241 -1240 -1239 -1238 -1237 -1236 -1235 -1234 -1233 -1232 -1231 -1230 -1229 -1228 -1227 -1226 -1225 -1224 -1223 -1222 -1221 -1220 -1219 -1218 -1217 -1216 -1215 -1214 -1213 -1212 -1211 -1210 -1209 -1208 -1207 -1206 -1205 -1204 -1203 -1202 -1201 -1200 -1199 -1198
FREQUENCY 2,833.53 2,832.75 2,831.97 2,831.18 2,830.40 2,829.62 2,828.84 2,828.06 2,827.28 2,826.50 2,825.72 2,824.94 2,824.16 2,823.38 2,822.60 2,821.82 2,821.04 2,820.26 2,819.49 2,818.71 2,817.93 2,817.16 2,816.38 2,815.60 2,814.83 2,814.05 2,813.28 2,812.51 2,811.73 2,810.96 2,810.19 2,809.41 2,808.64 2,807.87 2,807.10 2,806.32 2,805.55 2,804.78 2,804.01 2,803.24 2,802.47 2,801.70 2,800.93 2,800.17 2,799.40 2,798.63 2,797.86 2,797.09 2,796.33 2,795.56
BCD -1197 -1196 -1195 -1194 -1193 -1192 -1191 -1190 -1189 -1188 -1187 -1186 -1185 -1184 -1183 -1182 -1181 -1180 -1179 -1178 -1177 -1176 -1175 -1174 -1173 -1172 -1171 -1170 -1169 -1168 -1167 -1166 -1165 -1164 -1163 -1162 -1161 -1160 -1159 -1158 -1157 -1156 -1155 -1154 -1153 -1152 -1151 -1150 -1149 -1148
FREQUENCY 2,794.79 2,794.03 2,793.26 2,792.50 2,791.73 2,790.97 2,790.20 2,789.44 2,788.68 2,787.91 2,787.15 2,786.39 2,785.62 2,784.86 2,784.10 2,783.34 2,782.58 2,781.82 2,781.06 2,780.30 2,779.54 2,778.78 2,778.02 2,777.26 2,776.50 2,775.74 2,774.98 2,774.23 2,773.47 2,772.71 2,771.95 2,771.20 2,770.44 2,769.69 2,768.93 2,768.18 2,767.42 2,766.67 2,765.91 2,765.16 2,764.40 2,763.65 2,762.90 2,762.15 2,761.39 2,760.64 2,759.89 2,759.14 2,758.39 2,757.64
MOTOROLA
MC145540
8-11
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B HEX BR4 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 HEX BR5 B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B HEX BR4 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 HEX BR5 B B B B B B B B B B B B B B B B B B B B B B B C C C C C C C C C C C C C C C C C C C C C C C C C C C HEX BR4 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A
BCD -1147 -1146 -1145 -1144 -1143 -1142 -1141 -1140 -1139 -1138 -1137 -1136 -1135 -1134 -1133 -1132 -1131 -1130 -1129 -1128 -1127 -1126 -1125 -1124 -1123 -1122 -1121 -1120 -1119 -1118 -1117 -1116 -1115 -1114 -1113 -1112 -1111 -1110 -1109 -1108 -1107 -1106 -1105 -1104 -1103 -1102 -1101 -1100 -1099 -1098
FREQUENCY 2,756.89 2,756.14 2,755.39 2,754.64 2,753.89 2,753.14 2,752.39 2,751.64 2,750.89 2,750.14 2,749.40 2,748.65 2,747.90 2,747.15 2,746.41 2,745.66 2,744.92 2,744.17 2,743.43 2,742.68 2,741.94 2,741.19 2,740.45 2,739.70 2,738.96 2,738.22 2,737.47 2,736.73 2,735.99 2,735.25 2,734.50 2,733.76 2,733.02 2,732.28 2,731.54 2,730.80 2,730.06 2,729.32 2,728.58 2,727.84 2,727.10 2,726.36 2,725.62 2,724.89 2,724.15 2,723.41 2,722.67 2,721.94 2,721.20 2,720.46
BCD -1097 -1096 -1095 -1094 -1093 -1092 -1091 -1090 -1089 -1088 -1087 -1086 -1085 -1084 -1083 -1082 -1081 -1080 -1079 -1078 -1077 -1076 -1075 -1074 -1073 -1072 -1071 -1070 -1069 -1068 -1067 -1066 -1065 -1064 -1063 -1062 -1061 -1060 -1059 -1058 -1057 -1056 -1055 -1054 -1053 -1052 -1051 -1050 -1049 -1048
FREQUENCY 2,719.73 2,718.99 2,718.25 2,717.52 2,716.78 2,716.05 2,715.31 2,714.58 2,713.84 2,713.11 2,712.38 2,711.64 2,710.91 2,710.18 2,709.44 2,708.71 2,707.98 2,707.25 2,706.52 2,705.79 2,705.05 2,704.32 2,703.59 2,702.86 2,702.13 2,701.40 2,700.67 2,699.94 2,699.21 2,698.49 2,697.76 2,697.03 2,696.30 2,695.57 2,694.85 2,694.12 2,693.39 2,692.67 2,691.94 2,691.21 2,690.49 2,689.76 2,689.04 2,688.31 2,687.59 2,686.86 2,686.14 2,685.41 2,684.69 2,683.96
BCD -1047 -1046 -1045 -1044 -1043 -1042 -1041 -1040 -1039 -1038 -1037 -1036 -1035 -1034 -1033 -1032 -1031 -1030 -1029 -1028 -1027 -1026 -1025 -1024 -1023 -1022 -1021 -1020 -1019 -1018 -1017 -1016 -1015 -1014 -1013 -1012 -1011 -1010 -1009 -1008 -1007 -1006 -1005 -1004 -1003 -1002 -1001 -1000 -999 -998
FREQUENCY 2,683.24 2,682.52 2,681.79 2,681.07 2,680.35 2,679.63 2,678.90 2,678.18 2,677.46 2,676.74 2,676.02 2,675.30 2,674.58 2,673.86 2,673.14 2,672.42 2,671.70 2,670.98 2,670.26 2,669.54 2,668.82 2,668.10 2,667.38 2,666.67 2,665.95 2,665.23 2,664.51 2,663.80 2,663.08 2,662.36 2,661.65 2,660.93 2,660.22 2,659.50 2,658.78 2,658.07 2,657.35 2,656.64 2,655.92 2,655.21 2,654.50 2,653.78 2,653.07 2,652.36 2,651.64 2,650.93 2,650.22 2,649.50 2,648.79 2,648.08
8-12
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C HEX BR4 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B 4C HEX BR5 C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C HEX BR4 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E HEX BR5 C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C HEX BR4 7F 80 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0
BCD -997 -996 -995 -994 -993 -992 -991 -990 -989 -988 -987 -986 -985 -984 -983 -982 -981 -980 -979 -978 -977 -976 -975 -974 -973 -972 -971 -970 -969 -968 -967 -966 -965 -964 -963 -962 -961 -960 -959 -958 -957 -956 -955 -954 -953 -952 -951 -950 -949 -948
FREQUENCY 2,647.37 2,646.66 2,645.94 2,645.23 2,644.52 2,643.81 2,643.10 2,642.39 2,641.68 2,640.97 2,640.26 2,639.55 2,638.84 2,638.13 2,637.43 2,636.72 2,636.01 2,635.30 2,634.59 2,633.88 2,633.18 2,632.47 2,631.76 2,631.06 2,630.35 2,629.64 2,628.94 2,628.23 2,627.52 2,626.82 2,626.11 2,625.41 2,624.70 2,624.00 2,623.29 2,622.59 2,621.89 2,621.18 2,620.48 2,619.77 2,619.07 2,618.37 2,617.67 2,616.96 2,616.26 2,615.56 2,614.86 2,614.15 2,613.45 2,612.75
BCD -947 -946 -945 -944 -943 -942 -941 -940 -939 -938 -937 -936 -935 -934 -933 -932 -931 -930 -929 -928 -927 -926 -925 -924 -923 -922 -921 -920 -919 -918 -917 -916 -915 -914 -913 -912 -911 -910 -909 -908 -907 -906 -905 -904 -903 -902 -901 -900 -899 -898
FREQUENCY 2,612.05 2,611.35 2,610.65 2,609.95 2,609.25 2,608.55 2,607.85 2,607.15 2,606.45 2,605.75 2,605.05 2,604.35 2,603.65 2,602.95 2,602.25 2,601.55 2,600.86 2,600.16 2,599.46 2,598.76 2,598.07 2,597.37 2,596.67 2,595.98 2,595.28 2,594.58 2,593.89 2,593.19 2,592.49 2,591.80 2,591.10 2,590.41 2,589.71 2,589.02 2,588.32 2,587.63 2,586.94 2,586.24 2,585.55 2,584.85 2,584.16 2,583.47 2,582.77 2,582.08 2,581.39 2,580.70 2,580.00 2,579.31 2,578.62 2,577.93
BCD -897 -896 -895 -894 -893 -892 -891 -890 -889 -888 -887 -886 -885 -884 -883 -882 -881 -880 -879 -878 -877 -876 -875 -874 -873 -872 -871 -870 -869 -868 -867 -866 -865 -864 -863 -862 -861 -860 -859 -858 -857 -856 -855 -854 -853 -852 -851 -850 -849 -848
FREQUENCY 2,577.24 2,576.54 2,575.85 2,575.16 2,574.47 2,573.78 2,573.09 2,572.40 2,571.71 2,571.02 2,570.33 2,569.64 2,568.95 2,568.26 2,567.57 2,566.88 2,566.19 2,565.51 2,564.82 2,564.13 2,563.44 2,562.75 2,562.06 2,561.38 2,560.69 2,560.00 2,559.32 2,558.63 2,557.94 2,557.26 2,556.57 2,555.88 2,555.20 2,554.51 2,553.83 2,553.14 2,552.46 2,551.77 2,551.09 2,550.40 2,549.72 2,549.03 2,548.35 2,547.66 2,546.98 2,546.30 2,545.61 2,544.93 2,544.25 2,543.56
MOTOROLA
MC145540
8-13
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C HEX BR4 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 HEX BR5 C C C C C C C C C C C C C C C C C C C C C C C C C C C C C D D D D D D D D D D D D D D D D D D D D D HEX BR4 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 HEX BR5 D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D HEX BR4 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46
BCD -847 -846 -845 -844 -843 -842 -841 -840 -839 -838 -837 -836 -835 -834 -833 -832 -831 -830 -829 -828 -827 -826 -825 -824 -823 -822 -821 -820 -819 -818 -817 -816 -815 -814 -813 -812 -811 -810 -809 -808 -807 -806 -805 -804 -803 -802 -801 -800 -799 -798
FREQUENCY 2,542.88 2,542.20 2,541.51 2,540.83 2,540.15 2,539.47 2,538.79 2,538.10 2,537.42 2,536.74 2,536.06 2,535.38 2,534.70 2,534.02 2,533.34 2,532.66 2,531.97 2,531.29 2,530.61 2,529.93 2,529.26 2,528.58 2,527.90 2,527.22 2,526.54 2,525.86 2,525.18 2,524.50 2,523.82 2,523.15 2,522.47 2,521.79 2,521.11 2,520.43 2,519.76 2,519.08 2,518.40 2,517.73 2,517.05 2,516.37 2,515.70 2,515.02 2,514.34 2,513.67 2,512.99 2,512.32 2,511.64 2,510.96 2,510.29 2,509.61
BCD -797 -796 -795 -794 -793 -792 -791 -790 -789 -788 -787 -786 -785 -784 -783 -782 -781 -780 -779 -778 -777 -776 -775 -774 -773 -772 -771 -770 -769 -768 -767 -766 -765 -764 -763 -762 -761 -760 -759 -758 -757 -756 -755 -754 -753 -752 -751 -750 -749 -748
FREQUENCY 2,508.94 2,508.26 2,507.59 2,506.91 2,506.24 2,505.57 2,504.89 2,504.22 2,503.54 2,502.87 2,502.20 2,501.52 2,500.85 2,500.18 2,499.50 2,498.83 2,498.16 2,497.49 2,496.81 2,496.14 2,495.47 2,494.80 2,494.13 2,493.46 2,492.78 2,492.11 2,491.44 2,490.77 2,490.10 2,489.43 2,488.76 2,488.09 2,487.42 2,486.75 2,486.08 2,485.41 2,484.74 2,484.07 2,483.40 2,482.73 2,482.06 2,481.39 2,480.72 2,480.05 2,479.39 2,478.72 2,478.05 2,477.38 2,476.71 2,476.04
BCD -747 -746 -745 -744 -743 -742 -741 -740 -739 -738 -737 -736 -735 -734 -733 -732 -731 -730 -729 -728 -727 -726 -725 -724 -723 -722 -721 -720 -719 -718 -717 -716 -715 -714 -713 -712 -711 -710 -709 -708 -707 -706 -705 -704 -703 -702 -701 -700 -699 -698
FREQUENCY 2,475.38 2,474.71 2,474.04 2,473.37 2,472.71 2,472.04 2,471.37 2,470.71 2,470.04 2,469.37 2,468.71 2,468.04 2,467.37 2,466.71 2,466.04 2,465.38 2,464.71 2,464.05 2,463.38 2,462.72 2,462.05 2,461.38 2,460.72 2,460.06 2,459.39 2,458.73 2,458.06 2,457.40 2,456.73 2,456.07 2,455.41 2,454.74 2,454.08 2,453.42 2,452.75 2,452.09 2,451.43 2,450.76 2,450.10 2,449.44 2,448.78 2,448.11 2,447.45 2,446.79 2,446.13 2,445.47 2,444.80 2,444.14 2,443.48 2,442.82
8-14
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D HEX BR4 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75 76 77 78 HEX BR5 D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D HEX BR4 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA HEX BR5 D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D HEX BR4 AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC
BCD -697 -696 -695 -694 -693 -692 -691 -690 -689 -688 -687 -686 -685 -684 -683 -682 -681 -680 -679 -678 -677 -676 -675 -674 -673 -672 -671 -670 -669 -668 -667 -666 -665 -664 -663 -662 -661 -660 -659 -658 -657 -656 -655 -654 -653 -652 -651 -650 -649 -648
FREQUENCY 2,442.16 2,441.50 2,440.84 2,440.17 2,439.51 2,438.85 2,438.19 2,437.53 2,436.87 2,436.21 2,435.55 2,434.89 2,434.23 2,433.57 2,432.91 2,432.25 2,431.59 2,430.94 2,430.28 2,429.62 2,428.96 2,428.30 2,427.64 2,426.98 2,426.33 2,425.67 2,425.01 2,424.35 2,423.69 2,423.04 2,422.38 2,421.72 2,421.06 2,420.41 2,419.75 2,419.09 2,418.43 2,417.78 2,417.12 2,416.46 2,415.81 2,415.15 2,414.50 2,413.84 2,413.18 2,412.53 2,411.87 2,411.22 2,410.56 2,409.91
BCD -647 -646 -645 -644 -643 -642 -641 -640 -639 -638 -637 -636 -635 -634 -633 -632 -631 -630 -629 -628 -627 -626 -625 -624 -623 -622 -621 -620 -619 -618 -617 -616 -615 -614 -613 -612 -611 -610 -609 -608 -607 -606 -605 -604 -603 -602 -601 -600 -599 -598
FREQUENCY 2,409.25 2,408.59 2,407.94 2,407.28 2,406.63 2,405.97 2,405.32 2,404.67 2,404.01 2,403.36 2,402.70 2,402.05 2,401.39 2,400.74 2,400.09 2,399.43 2,398.78 2,398.13 2,397.47 2,396.82 2,396.17 2,395.51 2,394.86 2,394.21 2,393.56 2,392.90 2,392.25 2,391.60 2,390.95 2,390.29 2,389.64 2,388.99 2,388.34 2,387.69 2,387.03 2,386.38 2,385.73 2,385.08 2,384.43 2,383.78 2,383.13 2,382.48 2,381.83 2,381.17 2,380.52 2,379.87 2,379.22 2,378.57 2,377.92 2,377.27
BCD -597 -596 -595 -594 -593 -592 -591 -590 -589 -588 -587 -586 -585 -584 -583 -582 -581 -580 -579 -578 -577 -576 -575 -574 -573 -572 -571 -570 -569 -568 -567 -566 -565 -564 -563 -562 -561 -560 -559 -558 -557 -556 -555 -554 -553 -552 -551 -550 -549 -548
FREQUENCY 2,376.62 2,375.97 2,375.32 2,374.67 2,374.02 2,373.37 2,372.72 2,372.08 2,371.43 2,370.78 2,370.13 2,369.48 2,368.83 2,368.18 2,367.53 2,366.88 2,366.24 2,365.59 2,364.94 2,364.29 2,363.64 2,363.00 2,362.35 2,361.70 2,361.05 2,360.41 2,359.76 2,359.11 2,358.46 2,357.82 2,357.17 2,356.52 2,355.88 2,355.23 2,354.58 2,353.94 2,353.29 2,352.64 2,352.00 2,351.35 2,350.70 2,350.06 2,349.41 2,348.77 2,348.12 2,347.48 2,346.83 2,346.18 2,345.54 2,344.89
MOTOROLA
MC145540
8-15
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D E E E E E E E E E E E E E E E HEX BR4 DD DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E HEX BR5 E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E HEX BR4 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 HEX BR5 E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E HEX BR4 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72
BCD -547 -546 -545 -544 -543 -542 -541 -540 -539 -538 -537 -536 -535 -534 -533 -532 -531 -530 -529 -528 -527 -526 -525 -524 -523 -522 -521 -520 -519 -518 -517 -516 -515 -514 -513 -512 -511 -510 -509 -508 -507 -506 -505 -504 -503 -502 -501 -500 -499 -498
FREQUENCY 2,344.25 2,343.60 2,342.96 2,342.31 2,341.67 2,341.02 2,340.38 2,339.73 2,339.09 2,338.45 2,337.80 2,337.16 2,336.51 2,335.87 2,335.23 2,334.58 2,333.94 2,333.29 2,332.65 2,332.01 2,331.36 2,330.72 2,330.08 2,329.43 2,328.79 2,328.15 2,327.50 2,326.86 2,326.22 2,325.58 2,324.93 2,324.29 2,323.65 2,323.01 2,322.36 2,321.72 2,321.08 2,320.44 2,319.80 2,319.15 2,318.51 2,317.87 2,317.23 2,316.59 2,315.95 2,315.31 2,314.66 2,314.02 2,313.38 2,312.74
BCD -497 -496 -495 -494 -493 -492 -491 -490 -489 -488 -487 -486 -485 -484 -483 -482 -481 -480 -479 -478 -477 -476 -475 -474 -473 -472 -471 -470 -469 -468 -467 -466 -465 -464 -463 -462 -461 -460 -459 -458 -457 -456 -455 -454 -453 -452 -451 -450 -449 -448
FREQUENCY 2,312.10 2,311.46 2,310.82 2,310.18 2,309.54 2,308.90 2,308.26 2,307.62 2,306.98 2,306.34 2,305.70 2,305.06 2,304.42 2,303.78 2,303.14 2,302.50 2,301.86 2,301.22 2,300.58 2,299.94 2,299.30 2,298.66 2,298.02 2,297.38 2,296.74 2,296.10 2,295.46 2,294.83 2,294.19 2,293.55 2,292.91 2,292.27 2,291.63 2,291.00 2,290.36 2,289.72 2,289.08 2,288.44 2,287.80 2,287.17 2,286.53 2,285.89 2,285.25 2,284.62 2,283.98 2,283.34 2,282.70 2,282.07 2,281.43 2,280.79
BCD -447 -446 -445 -444 -443 -442 -441 -440 -439 -438 -437 -436 -435 -434 -433 -432 -431 -430 -429 -428 -427 -426 -425 -424 -423 -422 -421 -420 -419 -418 -417 -416 -415 -414 -413 -412 -411 -410 -409 -408 -407 -406 -405 -404 -403 -402 -401 -400 -399 -398
FREQUENCY 2,280.15 2,279.52 2,278.88 2,278.24 2,277.61 2,276.97 2,276.33 2,275.70 2,275.06 2,274.42 2,273.79 2,273.15 2,272.51 2,271.88 2,271.24 2,270.61 2,269.97 2,269.33 2,268.70 2,268.06 2,267.43 2,266.79 2,266.16 2,265.52 2,264.89 2,264.25 2,263.61 2,262.98 2,262.34 2,261.71 2,261.07 2,260.44 2,259.80 2,259.17 2,258.53 2,257.90 2,257.27 2,256.63 2,256.00 2,255.36 2,254.73 2,254.09 2,253.46 2,252.82 2,252.19 2,251.56 2,250.92 2,250.29 2,249.65 2,249.02
8-16
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E HEX BR4 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 HEX BR5 E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E HEX BR4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 HEX BR5 E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E E F F F F F F F F F HEX BR4 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05 06 07 08
BCD -397 -396 -395 -394 -393 -392 -391 -390 -389 -388 -387 -386 -385 -384 -383 -382 -381 -380 -379 -378 -377 -376 -375 -374 -373 -372 -371 -370 -369 -368 -367 -366 -365 -364 -363 -362 -361 -360 -359 -358 -357 -356 -355 -354 -353 -352 -351 -350 -349 -348
FREQUENCY 2,248.39 2,247.75 2,247.12 2,246.49 2,245.85 2,245.22 2,244.59 2,243.95 2,243.32 2,242.69 2,242.05 2,241.42 2,240.79 2,240.15 2,239.52 2,238.89 2,238.26 2,237.62 2,236.99 2,236.36 2,235.72 2,235.09 2,234.46 2,233.83 2,233.20 2,232.56 2,231.93 2,231.30 2,230.67 2,230.03 2,229.40 2,228.77 2,228.14 2,227.51 2,226.88 2,226.24 2,225.61 2,224.98 2,224.35 2,223.72 2,223.09 2,222.45 2,221.82 2,221.19 2,220.56 2,219.93 2,219.30 2,218.67 2,218.04 2,217.41
BCD -347 -346 -345 -344 -343 -342 -341 -340 -339 -338 -337 -336 -335 -334 -333 -332 -331 -330 -329 -328 -327 -326 -325 -324 -323 -322 -321 -320 -319 -318 -317 -316 -315 -314 -313 -312 -311 -310 -309 -308 -307 -306 -305 -304 -303 -302 -301 -300 -299 -298
FREQUENCY 2,216.78 2,216.14 2,215.51 2,214.88 2,214.25 2,213.62 2,212.99 2,212.36 2,211.73 2,211.10 2,210.47 2,209.84 2,209.21 2,208.58 2,207.95 2,207.32 2,206.69 2,206.06 2,205.43 2,204.80 2,204.17 2,203.54 2,202.91 2,202.28 2,201.65 2,201.02 2,200.39 2,199.76 2,199.13 2,198.50 2,197.87 2,197.24 2,196.62 2,195.99 2,195.36 2,194.73 2,194.10 2,193.47 2,192.84 2,192.21 2,191.58 2,190.95 2,190.33 2,189.70 2,189.07 2,188.44 2,187.81 2,187.18 2,186.55 2,185.93
BCD -297 -296 -295 -294 -293 -292 -291 -290 -289 -288 -287 -286 -285 -284 -283 -282 -281 -280 -279 -278 -277 -276 -275 -274 -273 -272 -271 -270 -269 -268 -267 -266 -265 -264 -263 -262 -261 -260 -259 -258 -257 -256 -255 -254 -253 -252 -251 -250 -249 -248
FREQUENCY 2,185.30 2,184.67 2,184.04 2,183.41 2,182.79 2,182.16 2,181.53 2,180.90 2,180.27 2,179.64 2,179.02 2,178.39 2,177.76 2,177.13 2,176.51 2,175.88 2,175.25 2,174.62 2,174.00 2,173.37 2,172.74 2,172.11 2,171.49 2,170.86 2,170.23 2,169.60 2,168.98 2,168.35 2,167.72 2,167.09 2,166.47 2,165.84 2,165.21 2,164.59 2,163.96 2,163.33 2,162.71 2,162.08 2,161.45 2,160.83 2,160.20 2,159.57 2,158.95 2,158.32 2,157.69 2,157.07 2,156.44 2,155.81 2,155.19 2,154.56
MOTOROLA
MC145540
8-17
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F HEX BR4 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A HEX BR5 F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F HEX BR4 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C HEX BR5 F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F HEX BR4 6D 6E 6F 70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E
BCD -247 -246 -245 -244 -243 -242 -241 -240 -239 -238 -237 -236 -235 -234 -233 -232 -231 -230 -229 -228 -227 -226 -225 -224 -223 -222 -221 -220 -219 -218 -217 -216 -215 -214 -213 -212 -211 -210 -209 -208 -207 -206 -205 -204 -203 -202 -201 -200 -199 -198
FREQUENCY 2,153.93 2,153.31 2,152.68 2,152.06 2,151.43 2,150.80 2,150.18 2,149.55 2,148.93 2,148.30 2,147.67 2,147.05 2,146.42 2,145.80 2,145.17 2,144.54 2,143.92 2,143.29 2,142.67 2,142.04 2,141.42 2,140.79 2,140.17 2,139.54 2,138.91 2,138.29 2,137.66 2,137.04 2,136.41 2,135.79 2,135.16 2,134.54 2,133.91 2,133.29 2,132.66 2,132.04 2,131.41 2,130.79 2,130.16 2,129.54 2,128.91 2,128.29 2,127.66 2,127.04 2,126.41 2,125.79 2,125.16 2,124.54 2,123.91 2,123.29
BCD -197 -196 -195 -194 -193 -192 -191 -190 -189 -188 -187 -186 -185 -184 -183 -182 -181 -180 -179 -178 -177 -176 -175 -174 -173 -172 -171 -170 -169 -168 -167 -166 -165 -164 -163 -162 -161 -160 -159 -158 -157 -156 -155 -154 -153 -152 -151 -150 -149 -148
FREQUENCY 2,122.66 2,122.04 2,121.42 2,120.79 2,120.17 2,119.54 2,118.92 2,118.29 2,117.67 2,117.04 2,116.42 2,115.80 2,115.17 2,114.55 2,113.92 2,113.30 2,112.67 2,112.05 2,111.43 2,110.80 2,110.18 2,109.55 2,108.93 2,108.31 2,107.68 2,107.06 2,106.43 2,105.81 2,105.19 2,104.56 2,103.94 2,103.32 2,102.69 2,102.07 2,101.44 2,100.82 2,100.20 2,099.57 2,098.95 2,098.33 2,097.70 2,097.08 2,096.46 2,095.83 2,095.21 2,094.59 2,093.96 2,093.34 2,092.72 2,092.09
BCD -147 -146 -145 -144 -143 -142 -141 -140 -139 -138 -137 -136 -135 -134 -133 -132 -131 -130 -129 -128 -127 -126 -125 -124 -123 -122 -121 -120 -119 -118 -117 -116 -115 -114 -113 -112 -111 -110 -109 -108 -107 -106 -105 -104 -103 -102 -101 -100 -99 -98
FREQUENCY 2,091.47 2,090.85 2,090.22 2,089.60 2,088.98 2,088.35 2,087.73 2,087.11 2,086.48 2,085.86 2,085.24 2,084.61 2,083.99 2,083.37 2,082.74 2,082.12 2,081.50 2,080.88 2,080.25 2,079.63 2,079.01 2,078.38 2,077.76 2,077.14 2,076.52 2,075.89 2,075.27 2,074.65 2,074.02 2,073.40 2,072.78 2,072.16 2,071.53 2,070.91 2,070.29 2,069.67 2,069.04 2,068.42 2,067.80 2,067.17 2,066.55 2,065.93 2,065.31 2,064.68 2,064.06 2,063.44 2,062.82 2,062.19 2,061.57 2,060.95
8-18
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F HEX BR4 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 HEX BR5 F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F F 0 0 0 HEX BR4 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 HEX BR5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 HEX BR4 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34
BCD -97 -96 -95 -94 -93 -92 -91 -90 -89 -88 -87 -86 -85 -84 -83 -82 -81 -80 -79 -78 -77 -76 -75 -74 -73 -72 -71 -70 -69 -68 -67 -66 -65 -64 -63 -62 -61 -60 -59 -58 -57 -56 -55 -54 -53 -52 -51 -50 -49 -48
FREQUENCY 2,060.33 2,059.70 2,059.08 2,058.46 2,057.84 2,057.22 2,056.59 2,055.97 2,055.35 2,054.73 2,054.10 2,053.48 2,052.86 2,052.24 2,051.62 2,050.99 2,050.37 2,049.75 2,049.13 2,048.50 2,047.88 2,047.26 2,046.64 2,046.02 2,045.39 2,044.77 2,044.15 2,043.53 2,042.91 2,042.28 2,041.66 2,041.04 2,040.42 2,039.80 2,039.17 2,038.55 2,037.93 2,037.31 2,036.69 2,036.06 2,035.44 2,034.82 2,034.20 2,033.58 2,032.95 2,032.33 2,031.71 2,031.09 2,030.47 2,029.84
BCD -47 -46 -45 -44 -43 -42 -41 -40 -39 -38 -37 -36 -35 -34 -33 -32 -31 -30 -29 -28 -27 -26 -25 -24 -23 -22 -21 -20 -19 -18 -17 -16 -15 -14 -13 -12 -11 -10 -9 -8 -7 -6 -5 -4 -3 -2 -1 0 1 2
FREQUENCY 2,029.22 2,028.60 2,027.98 2,027.36 2,026.74 2,026.11 2,025.49 2,024.87 2,024.25 2,023.63 2,023.00 2,022.38 2,021.76 2,021.14 2,020.52 2,019.90 2,019.27 2,018.65 2,018.03 2,017.41 2,016.79 2,016.16 2,015.54 2,014.92 2,014.30 2,013.68 2,013.06 2,012.43 2,011.81 2,011.19 2,010.57 2,009.95 2,009.33 2,008.70 2,008.08 2,007.46 2,006.84 2,006.22 2,005.60 2,004.97 2,004.35 2,003.73 2,003.11 2,002.49 2,001.87 2,001.24 2,000.62 2,000.00 1,999.38 1,998.76
BCD 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52
FREQUENCY 1,998.13 1,997.51 1,996.89 1,996.27 1,995.65 1,995.03 1,994.40 1,993.78 1,993.16 1,992.54 1,991.92 1,991.30 1,990.67 1,990.05 1,989.43 1,988.81 1,988.19 1,987.57 1,986.94 1,986.32 1,985.70 1,985.08 1,984.46 1,983.84 1,983.21 1,982.59 1,981.97 1,981.35 1,980.73 1,980.10 1,979.48 1,978.86 1,978.24 1,977.62 1,977.00 1,976.37 1,975.75 1,975.13 1,974.51 1,973.89 1,973.26 1,972.64 1,972.02 1,971.40 1,970.78 1,970.16 1,969.53 1,968.91 1,968.29 1,967.67
MOTOROLA
MC145540
8-19
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 HEX BR4 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 HEX BR5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 HEX BR4 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98 HEX BR5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 HEX BR4 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA
BCD 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102
FREQUENCY 1,967.05 1,966.42 1,965.80 1,965.18 1,964.56 1,963.94 1,963.31 1,962.69 1,962.07 1,961.45 1,960.83 1,960.20 1,959.58 1,958.96 1,958.34 1,957.72 1,957.09 1,956.47 1,955.85 1,955.23 1,954.61 1,953.98 1,953.36 1,952.74 1,952.12 1,951.50 1,950.87 1,950.25 1,949.63 1,949.01 1,948.38 1,947.76 1,947.14 1,946.52 1,945.90 1,945.27 1,944.65 1,944.03 1,943.41 1,942.78 1,942.16 1,941.54 1,940.92 1,940.30 1,939.67 1,939.05 1,938.43 1,937.81 1,937.18 1,936.56
BCD 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152
FREQUENCY 1,935.94 1,935.32 1,934.69 1,934.07 1,933.45 1,932.83 1,932.20 1,931.58 1,930.96 1,930.33 1,929.71 1,929.09 1,928.47 1,927.84 1,927.22 1,926.60 1,925.98 1,925.35 1,924.73 1,924.11 1,923.48 1,922.86 1,922.24 1,921.62 1,920.99 1,920.37 1,919.75 1,919.12 1,918.50 1,917.88 1,917.26 1,916.63 1,916.01 1,915.39 1,914.76 1,914.14 1,913.52 1,912.89 1,912.27 1,911.65 1,911.02 1,910.40 1,909.78 1,909.15 1,908.53 1,907.91 1,907.28 1,906.66 1,906.04 1,905.41
BCD 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202
FREQUENCY 1,904.79 1,904.17 1,903.54 1,902.92 1,902.30 1,901.67 1,901.05 1,900.43 1,899.80 1,899.18 1,898.56 1,897.93 1,897.31 1,896.68 1,896.06 1,895.44 1,894.81 1,894.19 1,893.57 1,892.94 1,892.32 1,891.69 1,891.07 1,890.45 1,889.82 1,889.20 1,888.57 1,887.95 1,887.33 1,886.70 1,886.08 1,885.45 1,884.83 1,884.20 1,883.58 1,882.96 1,882.33 1,881.71 1,881.08 1,880.46 1,879.83 1,879.21 1,878.58 1,877.96 1,877.34 1,876.71 1,876.09 1,875.46 1,874.84 1,874.21
8-20
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 HEX BR4 CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC HEX BR5 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 HEX BR4 FD FE FF 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E HEX BR5 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 HEX BR4 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60
BCD 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252
FREQUENCY 1,873.59 1,872.96 1,872.34 1,871.71 1,871.09 1,870.46 1,869.84 1,869.21 1,868.59 1,867.96 1,867.34 1,866.71 1,866.09 1,865.46 1,864.84 1,864.21 1,863.59 1,862.96 1,862.34 1,861.71 1,861.09 1,860.46 1,859.83 1,859.21 1,858.58 1,857.96 1,857.33 1,856.71 1,856.08 1,855.46 1,854.83 1,854.20 1,853.58 1,852.95 1,852.33 1,851.70 1,851.07 1,850.45 1,849.82 1,849.20 1,848.57 1,847.94 1,847.32 1,846.69 1,846.07 1,845.44 1,844.81 1,844.19 1,843.56 1,842.93
BCD 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302
FREQUENCY 1,842.31 1,841.68 1,841.05 1,840.43 1,839.80 1,839.17 1,838.55 1,837.92 1,837.29 1,836.67 1,836.04 1,835.41 1,834.79 1,834.16 1,833.53 1,832.91 1,832.28 1,831.65 1,831.02 1,830.40 1,829.77 1,829.14 1,828.51 1,827.89 1,827.26 1,826.63 1,826.00 1,825.38 1,824.75 1,824.12 1,823.49 1,822.87 1,822.24 1,821.61 1,820.98 1,820.36 1,819.73 1,819.10 1,818.47 1,817.84 1,817.21 1,816.59 1,815.96 1,815.33 1,814.70 1,814.07 1,813.45 1,812.82 1,812.19 1,811.56
BCD 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352
FREQUENCY 1,810.93 1,810.30 1,809.67 1,809.05 1,808.42 1,807.79 1,807.16 1,806.53 1,805.90 1,805.27 1,804.64 1,804.01 1,803.38 1,802.76 1,802.13 1,801.50 1,800.87 1,800.24 1,799.61 1,798.98 1,798.35 1,797.72 1,797.09 1,796.46 1,795.83 1,795.20 1,794.57 1,793.94 1,793.31 1,792.68 1,792.05 1,791.42 1,790.79 1,790.16 1,789.53 1,788.90 1,788.27 1,787.64 1,787.01 1,786.38 1,785.75 1,785.12 1,784.49 1,783.86 1,783.22 1,782.59 1,781.96 1,781.33 1,780.70 1,780.07
MOTOROLA
MC145540
8-21
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 HEX BR4 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 HEX BR5 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 HEX BR4 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 HEX BR5 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 HEX BR4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 F5 F6
BCD 353 354 355 356 357 358 359 360 361 362 363 364 365 366 367 368 369 370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385 386 387 388 389 390 391 392 393 394 395 396 397 398 399 400 401 402
FREQUENCY 1,779.44 1,778.81 1,778.18 1,777.55 1,776.91 1,776.28 1,775.65 1,775.02 1,774.39 1,773.76 1,773.12 1,772.49 1,771.86 1,771.23 1,770.60 1,769.97 1,769.33 1,768.70 1,768.07 1,767.44 1,766.80 1,766.17 1,765.54 1,764.91 1,764.28 1,763.64 1,763.01 1,762.38 1,761.74 1,761.11 1,760.48 1,759.85 1,759.21 1,758.58 1,757.95 1,757.31 1,756.68 1,756.05 1,755.41 1,754.78 1,754.15 1,753.51 1,752.88 1,752.25 1,751.61 1,750.98 1,750.35 1,749.71 1,749.08 1,748.44
BCD 403 404 405 406 407 408 409 410 411 412 413 414 415 416 417 418 419 420 421 422 423 424 425 426 427 428 429 430 431 432 433 434 435 436 437 438 439 440 441 442 443 444 445 446 447 448 449 450 451 452
FREQUENCY 1,747.81 1,747.18 1,746.54 1,745.91 1,745.27 1,744.64 1,744.00 1,743.37 1,742.73 1,742.10 1,741.47 1,740.83 1,740.20 1,739.56 1,738.93 1,738.29 1,737.66 1,737.02 1,736.39 1,735.75 1,735.11 1,734.48 1,733.84 1,733.21 1,732.57 1,731.94 1,731.30 1,730.67 1,730.03 1,729.39 1,728.76 1,728.12 1,727.49 1,726.85 1,726.21 1,725.58 1,724.94 1,724.30 1,723.67 1,723.03 1,722.39 1,721.76 1,721.12 1,720.48 1,719.85 1,719.21 1,718.57 1,717.93 1,717.30 1,716.66
BCD 453 454 455 456 457 458 459 460 461 462 463 464 465 466 467 468 469 470 471 472 473 474 475 476 477 478 479 480 481 482 483 484 485 486 487 488 489 490 491 492 493 494 495 496 497 498 499 500 501 502
FREQUENCY 1,716.02 1,715.38 1,714.75 1,714.11 1,713.47 1,712.83 1,712.20 1,711.56 1,710.92 1,710.28 1,709.64 1,709.00 1,708.37 1,707.73 1,707.09 1,706.45 1,705.81 1,705.17 1,704.54 1,703.90 1,703.26 1,702.62 1,701.98 1,701.34 1,700.70 1,700.06 1,699.42 1,698.78 1,698.14 1,697.50 1,696.86 1,696.22 1,695.58 1,694.94 1,694.30 1,693.66 1,693.02 1,692.38 1,691.74 1,691.10 1,690.46 1,689.82 1,689.18 1,688.54 1,687.90 1,687.26 1,686.62 1,685.98 1,685.34 1,684.69
8-22
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 1 1 1 1 1 1 1 1 1 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 HEX BR4 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 27 28 HEX BR5 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 HEX BR4 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A HEX BR5 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 HEX BR4 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 87 88 89 8A 8B 8C
BCD 503 504 505 506 507 508 509 510 511 512 513 514 515 516 517 518 519 520 521 522 523 524 525 526 527 528 529 530 531 532 533 534 535 536 537 538 539 540 541 542 543 544 545 546 547 548 549 550 551 552
FREQUENCY 1,684.05 1,683.41 1,682.77 1,682.13 1,681.49 1,680.85 1,680.20 1,679.56 1,678.92 1,678.28 1,677.64 1,676.99 1,676.35 1,675.71 1,675.07 1,674.42 1,673.78 1,673.14 1,672.50 1,671.85 1,671.21 1,670.57 1,669.92 1,669.28 1,668.64 1,667.99 1,667.35 1,666.71 1,666.06 1,665.42 1,664.77 1,664.13 1,663.49 1,662.84 1,662.20 1,661.55 1,660.91 1,660.27 1,659.62 1,658.98 1,658.33 1,657.69 1,657.04 1,656.40 1,655.75 1,655.11 1,654.46 1,653.82 1,653.17 1,652.52
BCD 553 554 555 556 557 558 559 560 561 562 563 564 565 566 567 568 569 570 571 572 573 574 575 576 577 578 579 580 581 582 583 584 585 586 587 588 589 590 591 592 593 594 595 596 597 598 599 600 601 602
FREQUENCY 1,651.88 1,651.23 1,650.59 1,649.94 1,649.30 1,648.65 1,648.00 1,647.36 1,646.71 1,646.06 1,645.42 1,644.77 1,644.12 1,643.48 1,642.83 1,642.18 1,641.54 1,640.89 1,640.24 1,639.59 1,638.95 1,638.30 1,637.65 1,637.00 1,636.36 1,635.71 1,635.06 1,634.41 1,633.76 1,633.12 1,632.47 1,631.82 1,631.17 1,630.52 1,629.87 1,629.22 1,628.57 1,627.92 1,627.28 1,626.63 1,625.98 1,625.33 1,624.68 1,624.03 1,623.38 1,622.73 1,622.08 1,621.43 1,620.78 1,620.13
BCD 603 604 605 606 607 608 609 610 611 612 613 614 615 616 617 618 619 620 621 622 623 624 625 626 627 628 629 630 631 632 633 634 635 636 637 638 639 640 641 642 643 644 645 646 647 648 649 650 651 652
FREQUENCY 1,619.48 1,618.83 1,618.17 1,617.52 1,616.87 1,616.22 1,615.57 1,614.92 1,614.27 1,613.62 1,612.97 1,612.31 1,611.66 1,611.01 1,610.36 1,609.71 1,609.05 1,608.40 1,607.75 1,607.10 1,606.44 1,605.79 1,605.14 1,604.49 1,603.83 1,603.18 1,602.53 1,601.87 1,601.22 1,600.57 1,599.91 1,599.26 1,598.61 1,597.95 1,597.30 1,596.64 1,595.99 1,595.33 1,594.68 1,594.03 1,593.37 1,592.72 1,592.06 1,591.41 1,590.75 1,590.09 1,589.44 1,588.78 1,588.13 1,587.47
MOTOROLA
MC145540
8-23
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 HEX BR4 8D 8E 8F 90 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE HEX BR5 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 HEX BR4 BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 HEX BR5 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 HEX BR4 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22
BCD 653 654 655 656 657 658 659 660 661 662 663 664 665 666 667 668 669 670 671 672 673 674 675 676 677 678 679 680 681 682 683 684 685 686 687 688 689 690 691 692 693 694 695 696 697 698 699 700 701 702
FREQUENCY 1,586.82 1,586.16 1,585.50 1,584.85 1,584.19 1,583.54 1,582.88 1,582.22 1,581.57 1,580.91 1,580.25 1,579.59 1,578.94 1,578.28 1,577.62 1,576.96 1,576.31 1,575.65 1,574.99 1,574.33 1,573.67 1,573.02 1,572.36 1,571.70 1,571.04 1,570.38 1,569.72 1,569.06 1,568.41 1,567.75 1,567.09 1,566.43 1,565.77 1,565.11 1,564.45 1,563.79 1,563.13 1,562.47 1,561.81 1,561.15 1,560.49 1,559.83 1,559.16 1,558.50 1,557.84 1,557.18 1,556.52 1,555.86 1,555.20 1,554.53
BCD 703 704 705 706 707 708 709 710 711 712 713 714 715 716 717 718 719 720 721 722 723 724 725 726 727 728 729 730 731 732 733 734 735 736 737 738 739 740 741 742 743 744 745 746 747 748 749 750 751 752
FREQUENCY 1,553.87 1,553.21 1,552.55 1,551.89 1,551.22 1,550.56 1,549.90 1,549.24 1,548.57 1,547.91 1,547.25 1,546.58 1,545.92 1,545.26 1,544.59 1,543.93 1,543.27 1,542.60 1,541.94 1,541.27 1,540.61 1,539.94 1,539.28 1,538.62 1,537.95 1,537.28 1,536.62 1,535.95 1,535.29 1,534.62 1,533.96 1,533.29 1,532.63 1,531.96 1,531.29 1,530.63 1,529.96 1,529.29 1,528.63 1,527.96 1,527.29 1,526.63 1,525.96 1,525.29 1,524.62 1,523.96 1,523.29 1,522.62 1,521.95 1,521.28
BCD 753 754 755 756 757 758 759 760 761 762 763 764 765 766 767 768 769 770 771 772 773 774 775 776 777 778 779 780 781 782 783 784 785 786 787 788 789 790 791 792 793 794 795 796 797 798 799 800 801 802
FREQUENCY 1,520.61 1,519.95 1,519.28 1,518.61 1,517.94 1,517.27 1,516.60 1,515.93 1,515.26 1,514.59 1,513.92 1,513.25 1,512.58 1,511.91 1,511.24 1,510.57 1,509.90 1,509.23 1,508.56 1,507.89 1,507.22 1,506.54 1,505.87 1,505.20 1,504.53 1,503.86 1,503.19 1,502.51 1,501.84 1,501.17 1,500.50 1,499.82 1,499.15 1,498.48 1,497.80 1,497.13 1,496.46 1,495.78 1,495.11 1,494.43 1,493.76 1,493.09 1,492.41 1,491.74 1,491.06 1,490.39 1,489.71 1,489.04 1,488.36 1,487.68
8-24
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 HEX BR4 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 HEX BR5 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 HEX BR4 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 HEX BR5 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 HEX BR4 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8
BCD 803 804 805 806 807 808 809 810 811 812 813 814 815 816 817 818 819 820 821 822 823 824 825 826 827 828 829 830 831 832 833 834 835 836 837 838 839 840 841 842 843 844 845 846 847 848 849 850 851 852
FREQUENCY 1,487.01 1,486.33 1,485.66 1,484.98 1,484.30 1,483.63 1,482.95 1,482.27 1,481.60 1,480.92 1,480.24 1,479.57 1,478.89 1,478.21 1,477.53 1,476.85 1,476.18 1,475.50 1,474.82 1,474.14 1,473.46 1,472.78 1,472.10 1,471.42 1,470.74 1,470.07 1,469.39 1,468.71 1,468.03 1,467.34 1,466.66 1,465.98 1,465.30 1,464.62 1,463.94 1,463.26 1,462.58 1,461.90 1,461.21 1,460.53 1,459.85 1,459.17 1,458.49 1,457.80 1,457.12 1,456.44 1,455.75 1,455.07 1,454.39 1,453.70
BCD 853 854 855 856 857 858 859 860 861 862 863 864 865 866 867 868 869 870 871 872 873 874 875 876 877 878 879 880 881 882 883 884 885 886 887 888 889 890 891 892 893 894 895 896 897 898 899 900 901 902
FREQUENCY 1,453.02 1,452.34 1,451.65 1,450.97 1,450.28 1,449.60 1,448.91 1,448.23 1,447.54 1,446.86 1,446.17 1,445.49 1,444.80 1,444.12 1,443.43 1,442.74 1,442.06 1,441.37 1,440.68 1,440.00 1,439.31 1,438.62 1,437.94 1,437.25 1,436.56 1,435.87 1,435.18 1,434.49 1,433.81 1,433.12 1,432.43 1,431.74 1,431.05 1,430.36 1,429.67 1,428.98 1,428.29 1,427.60 1,426.91 1,426.22 1,425.53 1,424.84 1,424.15 1,423.46 1,422.76 1,422.07 1,421.38 1,420.69 1,420.00 1,419.30
BCD 903 904 905 906 907 908 909 910 911 912 913 914 915 916 917 918 919 920 921 922 923 924 925 926 927 928 929 930 931 932 933 934 935 936 937 938 939 940 941 942 943 944 945 946 947 948 949 950 951 952
FREQUENCY 1,418.61 1,417.92 1,417.23 1,416.53 1,415.84 1,415.15 1,414.45 1,413.76 1,413.06 1,412.37 1,411.68 1,410.98 1,410.29 1,409.59 1,408.90 1,408.20 1,407.51 1,406.81 1,406.11 1,405.42 1,404.72 1,404.02 1,403.33 1,402.63 1,401.93 1,401.24 1,400.54 1,399.84 1,399.14 1,398.45 1,397.75 1,397.05 1,396.35 1,395.65 1,394.95 1,394.25 1,393.55 1,392.85 1,392.15 1,391.45 1,390.75 1,390.05 1,389.35 1,388.65 1,387.95 1,387.25 1,386.55 1,385.85 1,385.14 1,384.44
MOTOROLA
MC145540
8-25
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 HEX BR4 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA HEX BR5 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 HEX BR4 EB EC ED EE EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C HEX BR5 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 HEX BR4 1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E
BCD 953 954 955 956 957 958 959 960 961 962 963 964 965 966 967 968 969 970 971 972 973 974 975 976 977 978 979 980 981 982 983 984 985 986 987 988 989 990 991 992 993 994 995 996 997 998 999 1000 1001 1002
FREQUENCY 1,383.74 1,383.04 1,382.33 1,381.63 1,380.93 1,380.23 1,379.52 1,378.82 1,378.11 1,377.41 1,376.71 1,376.00 1,375.30 1,374.59 1,373.89 1,373.18 1,372.48 1,371.77 1,371.06 1,370.36 1,369.65 1,368.94 1,368.24 1,367.53 1,366.82 1,366.12 1,365.41 1,364.70 1,363.99 1,363.28 1,362.57 1,361.87 1,361.16 1,360.45 1,359.74 1,359.03 1,358.32 1,357.61 1,356.90 1,356.19 1,355.48 1,354.77 1,354.06 1,353.34 1,352.63 1,351.92 1,351.21 1,350.50 1,349.78 1,349.07
BCD 1003 1004 1005 1006 1007 1008 1009 1010 1011 1012 1013 1014 1015 1016 1017 1018 1019 1020 1021 1022 1023 1024 1025 1026 1027 1028 1029 1030 1031 1032 1033 1034 1035 1036 1037 1038 1039 1040 1041 1042 1043 1044 1045 1046 1047 1048 1049 1050 1051 1052
FREQUENCY 1,348.36 1,347.64 1,346.93 1,346.22 1,345.50 1,344.79 1,344.08 1,343.36 1,342.65 1,341.93 1,341.22 1,340.50 1,339.78 1,339.07 1,338.35 1,337.64 1,336.92 1,336.20 1,335.49 1,334.77 1,334.05 1,333.33 1,332.62 1,331.90 1,331.18 1,330.46 1,329.74 1,329.02 1,328.30 1,327.58 1,326.86 1,326.14 1,325.42 1,324.70 1,323.98 1,323.26 1,322.54 1,321.82 1,321.10 1,320.37 1,319.65 1,318.93 1,318.21 1,317.48 1,316.76 1,316.04 1,315.31 1,314.59 1,313.86 1,313.14
BCD 1053 1054 1055 1056 1057 1058 1059 1060 1061 1062 1063 1064 1065 1066 1067 1068 1069 1070 1071 1072 1073 1074 1075 1076 1077 1078 1079 1080 1081 1082 1083 1084 1085 1086 1087 1088 1089 1090 1091 1092 1093 1094 1095 1096 1097 1098 1099 1100 1101 1102
FREQUENCY 1,312.41 1,311.69 1,310.96 1,310.24 1,309.51 1,308.79 1,308.06 1,307.33 1,306.61 1,305.88 1,305.15 1,304.43 1,303.70 1,302.97 1,302.24 1,301.51 1,300.79 1,300.06 1,299.33 1,298.60 1,297.87 1,297.14 1,296.41 1,295.68 1,294.95 1,294.21 1,293.48 1,292.75 1,292.02 1,291.29 1,290.56 1,289.82 1,289.09 1,288.36 1,287.62 1,286.89 1,286.16 1,285.42 1,284.69 1,283.95 1,283.22 1,282.48 1,281.75 1,281.01 1,280.27 1,279.54 1,278.80 1,278.06 1,277.33 1,276.59
8-26
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 HEX BR4 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 HEX BR5 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 HEX BR4 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 HEX BR5 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 HEX BR4 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4
BCD 1103 1104 1105 1106 1107 1108 1109 1110 1111 1112 1113 1114 1115 1116 1117 1118 1119 1120 1121 1122 1123 1124 1125 1126 1127 1128 1129 1130 1131 1132 1133 1134 1135 1136 1137 1138 1139 1140 1141 1142 1143 1144 1145 1146 1147 1148 1149 1150 1151 1152
FREQUENCY 1,275.85 1,275.11 1,274.38 1,273.64 1,272.90 1,272.16 1,271.42 1,270.68 1,269.94 1,269.20 1,268.46 1,267.72 1,266.98 1,266.24 1,265.50 1,264.75 1,264.01 1,263.27 1,262.53 1,261.78 1,261.04 1,260.30 1,259.55 1,258.81 1,258.06 1,257.32 1,256.57 1,255.83 1,255.08 1,254.34 1,253.59 1,252.85 1,252.10 1,251.35 1,250.60 1,249.86 1,249.11 1,248.36 1,247.61 1,246.86 1,246.11 1,245.36 1,244.61 1,243.86 1,243.11 1,242.36 1,241.61 1,240.86 1,240.11 1,239.36
BCD 1153 1154 1155 1156 1157 1158 1159 1160 1161 1162 1163 1164 1165 1166 1167 1168 1169 1170 1171 1172 1173 1174 1175 1176 1177 1178 1179 1180 1181 1182 1183 1184 1185 1186 1187 1188 1189 1190 1191 1192 1193 1194 1195 1196 1197 1198 1199 1200 1201 1202
FREQUENCY 1,238.61 1,237.85 1,237.10 1,236.35 1,235.60 1,234.84 1,234.09 1,233.33 1,232.58 1,231.82 1,231.07 1,230.31 1,229.56 1,228.80 1,228.05 1,227.29 1,226.53 1,225.77 1,225.02 1,224.26 1,223.50 1,222.74 1,221.98 1,221.22 1,220.46 1,219.70 1,218.94 1,218.18 1,217.42 1,216.66 1,215.90 1,215.14 1,214.38 1,213.61 1,212.85 1,212.09 1,211.32 1,210.56 1,209.80 1,209.03 1,208.27 1,207.50 1,206.74 1,205.97 1,205.21 1,204.44 1,203.67 1,202.91 1,202.14 1,201.37
BCD 1203 1204 1205 1206 1207 1208 1209 1210 1211 1212 1213 1214 1215 1216 1217 1218 1219 1220 1221 1222 1223 1224 1225 1226 1227 1228 1229 1230 1231 1232 1233 1234 1235 1236 1237 1238 1239 1240 1241 1242 1243 1244 1245 1246 1247 1248 1249 1250 1251 1252
FREQUENCY 1,200.60 1,199.83 1,199.07 1,198.30 1,197.53 1,196.76 1,195.99 1,195.22 1,194.45 1,193.68 1,192.90 1,192.13 1,191.36 1,190.59 1,189.81 1,189.04 1,188.27 1,187.49 1,186.72 1,185.95 1,185.17 1,184.40 1,183.62 1,182.84 1,182.07 1,181.29 1,180.51 1,179.74 1,178.96 1,178.18 1,177.40 1,176.62 1,175.84 1,175.06 1,174.28 1,173.50 1,172.72 1,171.94 1,171.16 1,170.38 1,169.60 1,168.82 1,168.03 1,167.25 1,166.47 1,165.68 1,164.90 1,164.11 1,163.33 1,162.54
MOTOROLA
MC145540
8-27
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 HEX BR4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 HEX BR5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 HEX BR4 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 HEX BR5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 HEX BR4 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 75 76 77 78 79 7A
BCD 1253 1254 1255 1256 1257 1258 1259 1260 1261 1262 1263 1264 1265 1266 1267 1268 1269 1270 1271 1272 1273 1274 1275 1276 1277 1278 1279 1280 1281 1282 1283 1284 1285 1286 1287 1288 1289 1290 1291 1292 1293 1294 1295 1296 1297 1298 1299 1300 1301 1302
FREQUENCY 1,161.76 1,160.97 1,160.18 1,159.40 1,158.61 1,157.82 1,157.03 1,156.25 1,155.46 1,154.67 1,153.88 1,153.09 1,152.30 1,151.51 1,150.72 1,149.92 1,149.13 1,148.34 1,147.55 1,146.75 1,145.96 1,145.17 1,144.37 1,143.58 1,142.78 1,141.99 1,141.19 1,140.40 1,139.60 1,138.80 1,138.00 1,137.21 1,136.41 1,135.61 1,134.81 1,134.01 1,133.21 1,132.41 1,131.61 1,130.81 1,130.01 1,129.21 1,128.40 1,127.60 1,126.80 1,125.99 1,125.19 1,124.39 1,123.58 1,122.78
BCD 1303 1304 1305 1306 1307 1308 1309 1310 1311 1312 1313 1314 1315 1316 1317 1318 1319 1320 1321 1322 1323 1324 1325 1326 1327 1328 1329 1330 1331 1332 1333 1334 1335 1336 1337 1338 1339 1340 1341 1342 1343 1344 1345 1346 1347 1348 1349 1350 1351 1352
FREQUENCY 1,121.97 1,121.16 1,120.36 1,119.55 1,118.74 1,117.94 1,117.13 1,116.32 1,115.51 1,114.70 1,113.89 1,113.08 1,112.27 1,111.46 1,110.65 1,109.84 1,109.02 1,108.21 1,107.40 1,106.58 1,105.77 1,104.95 1,104.14 1,103.32 1,102.51 1,101.69 1,100.87 1,100.06 1,099.24 1,098.42 1,097.60 1,096.78 1,095.96 1,095.14 1,094.32 1,093.50 1,092.68 1,091.86 1,091.04 1,090.21 1,089.39 1,088.57 1,087.74 1,086.92 1,086.09 1,085.27 1,084.44 1,083.61 1,082.79 1,081.96
BCD 1353 1354 1355 1356 1357 1358 1359 1360 1361 1362 1363 1364 1365 1366 1367 1368 1369 1370 1371 1372 1373 1374 1375 1376 1377 1378 1379 1380 1381 1382 1383 1384 1385 1386 1387 1388 1389 1390 1391 1392 1393 1394 1395 1396 1397 1398 1399 1400 1401 1402
FREQUENCY 1,081.13 1,080.30 1,079.48 1,078.65 1,077.82 1,076.99 1,076.15 1,075.32 1,074.49 1,073.66 1,072.83 1,071.99 1,071.16 1,070.33 1,069.49 1,068.66 1,067.82 1,066.98 1,066.15 1,065.31 1,064.47 1,063.63 1,062.80 1,061.96 1,061.12 1,060.28 1,059.44 1,058.60 1,057.75 1,056.91 1,056.07 1,055.23 1,054.38 1,053.54 1,052.69 1,051.85 1,051.00 1,050.16 1,049.31 1,048.46 1,047.61 1,046.77 1,045.92 1,045.07 1,044.22 1,043.37 1,042.52 1,041.66 1,040.81 1,039.96
8-28
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 HEX BR4 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC HEX BR5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 HEX BR4 AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE HEX BR5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 HEX BR4 DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F 10
BCD 1403 1404 1405 1406 1407 1408 1409 1410 1411 1412 1413 1414 1415 1416 1417 1418 1419 1420 1421 1422 1423 1424 1425 1426 1427 1428 1429 1430 1431 1432 1433 1434 1435 1436 1437 1438 1439 1440 1441 1442 1443 1444 1445 1446 1447 1448 1449 1450 1451 1452
FREQUENCY 1,039.11 1,038.25 1,037.40 1,036.54 1,035.69 1,034.83 1,033.98 1,033.12 1,032.26 1,031.40 1,030.55 1,029.69 1,028.83 1,027.97 1,027.11 1,026.24 1,025.38 1,024.52 1,023.66 1,022.79 1,021.93 1,021.06 1,020.20 1,019.33 1,018.47 1,017.60 1,016.73 1,015.86 1,014.99 1,014.13 1,013.26 1,012.38 1,011.51 1,010.64 1,009.77 1,008.90 1,008.02 1,007.15 1,006.28 1,005.40 1,004.52 1,003.65 1,002.77 1,001.89 1,001.01 1,000.14 999.26 998.38 997.50 996.61
BCD 1453 1454 1455 1456 1457 1458 1459 1460 1461 1462 1463 1464 1465 1466 1467 1468 1469 1470 1471 1472 1473 1474 1475 1476 1477 1478 1479 1480 1481 1482 1483 1484 1485 1486 1487 1488 1489 1490 1491 1492 1493 1494 1495 1496 1497 1498 1499 1500 1501 1502
FREQUENCY 995.73 994.85 993.97 993.08 992.20 991.31 990.43 989.54 988.66 987.77 986.88 985.99 985.10 984.21 983.32 982.43 981.54 980.65 979.75 978.86 977.96 977.07 976.17 975.28 974.38 973.48 972.58 971.68 970.78 969.88 968.98 968.08 967.18 966.28 965.37 964.47 963.56 962.66 961.75 960.84 959.93 959.03 958.12 957.21 956.30 955.38 954.47 953.56 952.65 951.73
BCD 1503 1504 1505 1506 1507 1508 1509 1510 1511 1512 1513 1514 1515 1516 1517 1518 1519 1520 1521 1522 1523 1524 1525 1526 1527 1528 1529 1530 1531 1532 1533 1534 1535 1536 1537 1538 1539 1540 1541 1542 1543 1544 1545 1546 1547 1548 1549 1550 1551 1552
FREQUENCY 950.82 949.90 948.99 948.07 947.15 946.23 945.31 944.39 943.47 942.55 941.63 940.71 939.78 938.86 937.93 937.01 936.08 935.15 934.23 933.30 932.37 931.44 930.51 929.57 928.64 927.71 926.77 925.84 924.90 923.97 923.03 922.09 921.15 920.21 919.27 918.33 917.39 916.45 915.50 914.56 913.61 912.67 911.72 910.77 909.83 908.88 907.93 906.98 906.03 905.07
MOTOROLA
MC145540
8-29
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 HEX BR4 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C 3D 3E 3F 40 41 42 HEX BR5 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 HEX BR4 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E 6F 70 71 72 73 74 HEX BR5 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 HEX BR4 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6
BCD 1553 1554 1555 1556 1557 1558 1559 1560 1561 1562 1563 1564 1565 1566 1567 1568 1569 1570 1571 1572 1573 1574 1575 1576 1577 1578 1579 1580 1581 1582 1583 1584 1585 1586 1587 1588 1589 1590 1591 1592 1593 1594 1595 1596 1597 1598 1599 1600 1601 1602
FREQUENCY 904.12 903.17 902.21 901.25 900.30 899.34 898.38 897.42 896.46 895.50 894.54 893.58 892.61 891.65 890.69 889.72 888.75 887.78 886.82 885.85 884.88 883.90 882.93 881.96 880.99 880.01 879.04 878.06 877.08 876.10 875.12 874.14 873.16 872.18 871.20 870.21 869.23 868.24 867.25 866.27 865.28 864.29 863.30 862.31 861.31 860.32 859.33 858.33 857.33 856.34
BCD 1603 1604 1605 1606 1607 1608 1609 1610 1611 1612 1613 1614 1615 1616 1617 1618 1619 1620 1621 1622 1623 1624 1625 1626 1627 1628 1629 1630 1631 1632 1633 1634 1635 1636 1637 1638 1639 1640 1641 1642 1643 1644 1645 1646 1647 1648 1649 1650 1651 1652
FREQUENCY 855.34 854.34 853.34 852.34 851.33 850.33 849.33 848.32 847.31 846.31 845.30 844.29 843.28 842.27 841.25 840.24 839.23 838.21 837.19 836.18 835.16 834.14 833.12 832.09 831.07 830.05 829.02 828.00 826.97 825.94 824.91 823.88 822.85 821.81 820.78 819.75 818.71 817.67 816.63 815.59 814.55 813.51 812.47 811.42 810.38 809.33 808.28 807.23 806.18 805.13
BCD 1653 1654 1655 1656 1657 1658 1659 1660 1661 1662 1663 1664 1665 1666 1667 1668 1669 1670 1671 1672 1673 1674 1675 1676 1677 1678 1679 1680 1681 1682 1683 1684 1685 1686 1687 1688 1689 1690 1691 1692 1693 1694 1695 1696 1697 1698 1699 1700 1701 1702
FREQUENCY 804.08 803.03 801.97 800.92 799.86 798.80 797.74 796.68 795.62 794.55 793.49 792.42 791.36 790.29 789.22 788.15 787.08 786.00 784.93 783.85 782.78 781.70 780.62 779.54 778.45 777.37 776.28 775.20 774.11 773.02 771.93 770.84 769.75 768.65 767.56 766.46 765.36 764.26 763.16 762.06 760.95 759.85 758.74 757.63 756.52 755.41 754.30 753.18 752.07 750.95
8-30
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 HEX BR4 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 HEX BR5 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 7 7 7 7 7 7 7 7 7 7 7 HEX BR4 D9 DA DB DC DD DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 01 02 03 04 05 06 07 08 09 0A HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 HEX BR4 0B 0C 0D 0E 0F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F 20 21 22 23 24 25 26 27 28 29 2A 2B 2C 2D 2E 2F 30 31 32 33 34 35 36 37 38 39 3A 3B 3C
BCD 1703 1704 1705 1706 1707 1708 1709 1710 1711 1712 1713 1714 1715 1716 1717 1718 1719 1720 1721 1722 1723 1724 1725 1726 1727 1728 1729 1730 1731 1732 1733 1734 1735 1736 1737 1738 1739 1740 1741 1742 1743 1744 1745 1746 1747 1748 1749 1750 1751 1752
FREQUENCY 749.83 748.71 747.59 746.47 745.34 744.22 743.09 741.96 740.83 739.70 738.56 737.43 736.29 735.15 734.01 732.87 731.73 730.59 729.44 728.29 727.14 725.99 724.84 723.69 722.53 721.37 720.21 719.05 717.89 716.73 715.56 714.39 713.22 712.05 710.88 709.70 708.53 707.35 706.17 704.99 703.81 702.62 701.43 700.25 699.06 697.86 696.67 695.47 694.28 693.08
BCD 1753 1754 1755 1756 1757 1758 1759 1760 1761 1762 1763 1764 1765 1766 1767 1768 1769 1770 1771 1772 1773 1774 1775 1776 1777 1778 1779 1780 1781 1782 1783 1784 1785 1786 1787 1788 1789 1790 1791 1792 1793 1794 1795 1796 1797 1798 1799 1800 1801 1802
FREQUENCY 691.88 690.67 689.47 688.26 687.05 685.84 684.63 683.41 682.19 680.98 679.76 678.53 677.31 676.08 674.85 673.62 672.39 671.15 669.92 668.68 667.44 666.19 664.95 663.70 662.45 661.20 659.95 658.69 657.43 656.17 654.91 653.64 652.38 651.11 649.84 648.56 647.29 646.01 644.73 643.44 642.16 640.87 639.58 638.29 636.99 635.70 634.40 633.10 631.79 630.48
BCD 1803 1804 1805 1806 1807 1808 1809 1810 1811 1812 1813 1814 1815 1816 1817 1818 1819 1820 1821 1822 1823 1824 1825 1826 1827 1828 1829 1830 1831 1832 1833 1834 1835 1836 1837 1838 1839 1840 1841 1842 1843 1844 1845 1846 1847 1848 1849 1850 1851 1852
FREQUENCY 629.17 627.86 626.55 625.23 623.91 622.59 621.26 619.94 618.61 617.27 615.94 614.60 613.26 611.92 610.57 609.22 607.87 606.51 605.16 603.80 602.43 601.07 599.70 598.33 596.95 595.58 594.20 592.81 591.43 590.04 588.65 587.25 585.85 584.45 583.05 581.64 580.23 578.81 577.40 575.97 574.55 573.12 571.69 570.26 568.82 567.38 565.94 564.49 563.04 561.58
MOTOROLA
MC145540
8-31
Table 8-2. Frequency Coefficients for Tone Generator (continued)
HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 HEX BR4 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4A 4B 4C 4D 4E 4F 50 51 52 53 54 55 56 57 58 59 5A 5B 5C 5D 5E 5F 60 61 62 63 64 65 66 67 68 69 6A 6B 6C 6D 6E HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 HEX BR4 6F 70 71 72 73 74 75 76 77 78 79 7A 7B 7C 7D 7E 7F 80 81 82 83 84 85 86 87 88 89 8A 8B 8C 8D 8E 8F 90 91 92 93 94 95 96 97 98 99 9A 9B 9C 9D 9E 9F A0 HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 HEX BR4 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CB CC CD CE CF D0 D1 D2
BCD 1853 1854 1855 1856 1857 1858 1859 1860 1861 1862 1863 1864 1865 1866 1867 1868 1869 1870 1871 1872 1873 1874 1875 1876 1877 1878 1879 1880 1881 1882 1883 1884 1885 1886 1887 1888 1889 1890 1891 1892 1893 1894 1895 1896 1897 1898 1899 1900 1901 1902
FREQUENCY 560.13 558.66 557.20 555.73 554.26 552.78 551.30 549.82 548.33 546.84 545.34 543.85 542.34 540.84 539.33 537.81 536.29 534.77 533.24 531.71 530.18 528.64 527.09 525.55 524.00 522.44 520.88 519.31 517.74 516.17 514.59 513.01 511.42 509.83 508.23 506.63 505.02 503.41 501.79 500.17 498.54 496.91 495.28 493.63 491.99 490.33 488.68 487.01 485.35 483.67
BCD 1903 1904 1905 1906 1907 1908 1909 1910 1911 1912 1913 1914 1915 1916 1917 1918 1919 1920 1921 1922 1923 1924 1925 1926 1927 1928 1929 1930 1931 1932 1933 1934 1935 1936 1937 1938 1939 1940 1941 1942 1943 1944 1945 1946 1947 1948 1949 1950 1951 1952
FREQUENCY 481.99 480.31 478.62 476.92 475.22 473.51 471.80 470.08 468.35 466.62 464.88 463.14 461.39 459.63 457.87 456.10 454.32 452.54 450.75 448.95 447.15 445.34 443.52 441.69 439.86 438.02 436.17 434.32 432.46 430.59 428.71 426.82 424.93 423.03 421.12 419.20 417.27 415.34 413.39 411.44 409.48 407.50 405.52 403.53 401.53 399.52 397.51 395.48 393.44 391.39
BCD 1953 1954 1955 1956 1957 1958 1959 1960 1961 1962 1963 1964 1965 1966 1967 1968 1969 1970 1971 1972 1973 1974 1975 1976 1977 1978 1979 1980 1981 1982 1983 1984 1985 1986 1987 1988 1989 1990 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002
FREQUENCY 389.33 387.26 385.18 383.08 380.98 378.87 376.74 374.60 372.45 370.29 368.11 365.93 363.73 361.52 359.29 357.05 354.80 352.53 350.25 347.95 345.64 343.31 340.97 338.62 336.24 333.85 331.45 329.02 326.58 324.12 321.64 319.14 316.63 314.09 311.54 308.96 306.36 303.74 301.10 298.43 295.75 293.03 290.29 287.53 284.74 281.92 279.08 276.21 273.30 270.37
8-32
MC145540
MOTOROLA
Table 8-2. Frequency Coefficients for Tone Generator (continued)
BCD 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 HEX BR4 D3 D4 D5 D6 D7 D8 D9 DA DB DC DD DE DF E0 E1 E2 FREQUENCY 267.40 264.40 261.37 258.30 255.20 252.06 248.88 245.66 242.39 239.08 235.73 232.33 228.88 225.37 221.81 218.20 BCD 2019 2020 2021 2022 2023 2024 2025 2026 2027 2028 2029 2030 2031 2032 2033 HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 HEX BR4 E3 E4 E5 E6 E7 E8 E9 EA EB EC ED EE EF F0 F1 FREQUENCY 214.52 210.78 206.98 203.10 199.15 195.12 191.00 186.79 182.49 178.09 173.57 168.93 164.17 159.26 154.20 BCD 2034 2035 2036 2037 2038 2039 2040 2041 2042 2043 2044 2045 2046 2047 2048 HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 8 HEX BR4 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FD FE FF 00 FREQUENCY 148.96 143.54 137.90 132.02 125.87 119.41 112.58 105.30 97.49 88.99 79.59 68.92 56.27 39.79 0.00
MOTOROLA
MC145540
8-33
Table 8-3. Attenuation Coefficients for Tone Generator
HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 HEX BR4 FF FE FD FC FB FA F9 F8 F7 F6 F5 F4 F3 F2 F1 F0 EF EE ED EC EB EA E9 E8 E7 E6 E5 E4 E3 E2 E1 E0 DF DE DD DC DB DA D9 D8 D7 D6 D5 D4 D3 D2 VOLTS RMS 1.1204 1.1198 1.1193 1.1187 1.1182 1.1176 1.1171 1.1165 1.1160 1.1154 1.1149 1.1143 1.1138 1.1132 1.1127 1.1121 1.1116 1.1110 1.1105 1.1100 1.1094 1.1089 1.1083 1.1078 1.1072 1.1067 1.1061 1.1056 1.1050 1.1045 1.1039 1.1034 1.1028 1.1023 1.1017 1.1012 1.1006 1.1001 1.0996 1.0990 1.0985 1.0979 1.0974 1.0968 1.0963 1.0957 dBm (600) 3.21 3.20 3.20 3.19 3.19 3.18 3.18 3.18 3.17 3.17 3.16 3.16 3.15 3.15 3.15 3.14 3.14 3.13 3.13 3.12 3.12 3.12 3.11 3.11 3.10 3.10 3.09 3.09 3.09 3.08 3.08 3.07 3.07 3.06 3.06 3.06 3.05 3.05 3.04 3.04 3.03 3.03 3.03 3.02 3.02 3.01 HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 HEX BR4 D1 D0 CF CE CD CC CB CA C9 C8 C7 C6 C5 C4 C3 C2 C1 C0 BF BE BD BC BB BA B9 B8 B7 B6 B5 B4 B3 B2 B1 B0 AF AE AD AC AB AA A9 A8 A7 A6 A5 A4 VOLTS RMS 1.0952 1.0946 1.0941 1.0935 1.0930 1.0924 1.0919 1.0913 1.0908 1.0903 1.0897 1.0892 1.0886 1.0881 1.0875 1.0870 1.0864 1.0859 1.0853 1.0848 1.0842 1.0837 1.0831 1.0826 1.0820 1.0815 1.0809 1.0804 1.0799 1.0793 1.0788 1.0782 1.0777 1.0771 1.0766 1.0760 1.0755 1.0749 1.0744 1.0738 1.0733 1.0727 1.0722 1.0716 1.0711 1.0705 dBm (600) 3.01 3.00 3.00 3.00 2.99 2.99 2.98 2.98 2.97 2.97 2.96 2.96 2.96 2.95 2.95 2.94 2.94 2.93 2.93 2.93 2.92 2.92 2.91 2.91 2.90 2.90 2.89 2.89 2.89 2.88 2.88 2.87 2.87 2.86 2.86 2.85 2.85 2.85 2.84 2.84 2.83 2.83 2.82 2.82 2.82 2.81 HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 HEX BR4 A3 A2 A1 A0 9F 9E 9D 9C 9B 9A 99 98 97 96 95 94 93 92 91 90 8F 8E 8D 8C 8B 8A 89 88 87 86 85 84 83 82 81 80 7F 7E 7D 7C 7B 7A 79 78 77 76 VOLTS RMS 1.0700 1.0695 1.0689 1.0684 1.0678 1.0673 1.0667 1.0662 1.0656 1.0651 1.0645 1.0640 1.0634 1.0629 1.0623 1.0618 1.0612 1.0607 1.0601 1.0596 1.0591 1.0585 1.0580 1.0574 1.0569 1.0563 1.0558 1.0552 1.0547 1.0541 1.0536 1.0530 1.0525 1.0519 1.0514 1.0508 1.0503 1.0497 1.0492 1.0487 1.0481 1.0476 1.0470 1.0465 1.0459 1.0454 dBm (600) 2.81 2.80 2.80 2.79 2.79 2.78 2.78 2.78 2.77 2.77 2.76 2.76 2.75 2.75 2.74 2.74 2.73 2.73 2.73 2.72 2.72 2.71 2.71 2.70 2.70 2.69 2.69 2.69 2.68 2.68 2.67 2.67 2.66 2.66 2.65 2.65 2.64 2.64 2.64 2.63 2.63 2.62 2.62 2.61 2.61 2.60
BCD 2047 2046 2045 2044 2043 2042 2041 2040 2039 2038 2037 2036 2035 2034 2033 2032 2031 2030 2029 2028 2027 2026 2025 2024 2023 2022 2021 2020 2019 2018 2017 2016 2015 2014 2013 2012 2011 2010 2009 2008 2007 2006 2005 2004 2003 2002
BCD 2001 2000 1999 1998 1997 1996 1995 1994 1993 1992 1991 1990 1989 1988 1987 1986 1985 1984 1983 1982 1981 1980 1979 1978 1977 1976 1975 1974 1973 1972 1971 1970 1969 1968 1967 1966 1965 1964 1963 1962 1961 1960 1959 1958 1957 1956
BCD 1955 1954 1953 1952 1951 1950 1949 1948 1947 1946 1945 1944 1943 1942 1941 1940 1939 1938 1937 1936 1935 1934 1933 1932 1931 1930 1929 1928 1927 1926 1925 1924 1923 1922 1921 1920 1919 1918 1917 1916 1915 1914 1913 1912 1911 1910
8-34
MC145540
MOTOROLA
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 HEX BR4 75 74 73 72 71 70 6F 6E 6D 6C 6B 6A 69 68 67 66 65 64 63 62 61 60 5F 5E 5D 5C 5B 5A 59 58 57 56 55 54 53 52 51 50 4F 4E 4D 4C 4B 4A 49 48 VOLTS RMS 1.0448 1.0443 1.0437 1.0432 1.0426 1.0421 1.0415 1.0410 1.0404 1.0399 1.0394 1.0388 1.0383 1.0377 1.0372 1.0366 1.0361 1.0355 1.0350 1.0344 1.0339 1.0333 1.0328 1.0322 1.0317 1.0311 1.0306 1.0300 1.0295 1.0290 1.0284 1.0279 1.0273 1.0268 1.0262 1.0257 1.0251 1.0246 1.0240 1.0235 1.0229 1.0224 1.0218 1.0213 1.0207 1.0202 dBm (600) 2.60 2.59 2.59 2.59 2.58 2.58 2.57 2.57 2.56 2.56 2.55 2.55 2.54 2.54 2.54 2.53 2.53 2.52 2.52 2.51 2.51 2.50 2.50 2.49 2.49 2.48 2.48 2.48 2.47 2.47 2.46 2.46 2.45 2.45 2.44 2.44 2.43 2.43 2.42 2.42 2.42 2.41 2.41 2.40 2.40 2.39 HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 HEX BR4 47 46 45 44 43 42 41 40 3F 3E 3D 3C 3B 3A 39 38 37 36 35 34 33 32 31 30 2F 2E 2D 2C 2B 2A 29 28 27 26 25 24 23 22 21 20 1F 1E 1D 1C 1B 1A VOLTS RMS 1.0196 1.0191 1.0186 1.0180 1.0175 1.0169 1.0164 1.0158 1.0153 1.0147 1.0142 1.0136 1.0131 1.0125 1.0120 1.0114 1.0109 1.0103 1.0098 1.0092 1.0087 1.0082 1.0076 1.0071 1.0065 1.0060 1.0054 1.0049 1.0043 1.0038 1.0032 1.0027 1.0021 1.0016 1.0010 1.0005 0.9999 0.9994 0.9988 0.9983 0.9978 0.9972 0.9967 0.9961 0.9956 0.9950 dBm (600) 2.39 2.38 2.38 2.37 2.37 2.36 2.36 2.35 2.35 2.35 2.34 2.34 2.33 2.33 2.32 2.32 2.31 2.31 2.30 2.30 2.29 2.29 2.28 2.28 2.27 2.27 2.27 2.26 2.26 2.25 2.25 2.24 2.24 2.23 2.23 2.22 2.22 2.21 2.21 2.20 2.20 2.19 2.19 2.18 2.18 2.18 HEX BR5 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 HEX BR4 19 18 17 16 15 14 13 12 11 10 0F 0E 0D 0C 0B 0A 09 08 07 06 05 04 03 02 01 00 FF FE FD FC FB FA F9 F8 F7 F6 F5 F4 F3 F2 F1 F0 EF EE ED EC VOLTS RMS 0.9945 0.9939 0.9934 0.9928 0.9923 0.9917 0.9912 0.9906 0.9901 0.9895 0.9890 0.9884 0.9879 0.9874 0.9868 0.9863 0.9857 0.9852 0.9846 0.9841 0.9835 0.9830 0.9824 0.9819 0.9813 0.9808 0.9802 0.9797 0.9791 0.9786 0.9781 0.9775 0.9770 0.9764 0.9759 0.9753 0.9748 0.9742 0.9737 0.9731 0.9726 0.9720 0.9715 0.9709 0.9704 0.9698 dBm (600) 2.17 2.17 2.16 2.16 2.15 2.15 2.14 2.14 2.13 2.13 2.12 2.12 2.11 2.11 2.10 2.10 2.09 2.09 2.08 2.08 2.07 2.07 2.06 2.06 2.05 2.05 2.05 2.04 2.04 2.03 2.03 2.02 2.02 2.01 2.01 2.00 2.00 1.99 1.99 1.98 1.98 1.97 1.97 1.96 1.96 1.95
BCD 1909 1908 1907 1906 1905 1904 1903 1902 1901 1900 1899 1898 1897 1896 1895 1894 1893 1892 1891 1890 1889 1888 1887 1886 1885 1884 1883 1882 1881 1880 1879 1878 1877 1876 1875 1874 1873 1872 1871 1870 1869 1868 1867 1866 1865 1864
BCD 1863 1862 1861 1860 1859 1858 1857 1856 1855 1854 1853 1852 1851 1850 1849 1848 1847 1846 1845 1844 1843 1842 1841 1840 1839 1838 1837 1836 1835 1834 1833 1832 1831 1830 1829 1828 1827 1826 1825 1824 1823 1822 1821 1820 1819 1818
BCD 1817 1816 1815 1814 1813 1812 1811 1810 1809 1808 1807 1806 1805 1804 1803 1802 1801 1800 1799 1798 1797 1796 1795 1794 1793 1792 1791 1790 1789 1788 1787 1786 1785 1784 1783 1782 1781 1780 1779 1778 1777 1776 1775 1774 1773 1772
MOTOROLA
MC145540
8-35
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 HEX BR4 EB EA E9 E8 E7 E6 E5 E4 E3 E2 E1 E0 DF DE DD DC DB DA D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 CF CE CD CC CB CA C9 C8 C7 C6 C5 C4 C3 C2 C1 C0 BF BE VOLTS RMS 0.9693 0.9687 0.9682 0.9677 0.9671 0.9666 0.9660 0.9655 0.9649 0.9644 0.9638 0.9633 0.9627 0.9622 0.9616 0.9611 0.9605 0.9600 0.9594 0.9589 0.9583 0.9578 0.9573 0.9567 0.9562 0.9556 0.9551 0.9545 0.9540 0.9534 0.9529 0.9523 0.9518 0.9512 0.9507 0.9501 0.9496 0.9490 0.9485 0.9479 0.9474 0.9469 0.9463 0.9458 0.9452 0.9447 dBm (600) 1.95 1.94 1.94 1.93 1.93 1.92 1.92 1.91 1.91 1.90 1.90 1.89 1.89 1.88 1.88 1.87 1.87 1.86 1.86 1.85 1.85 1.84 1.84 1.83 1.83 1.82 1.82 1.81 1.81 1.80 1.80 1.79 1.79 1.78 1.78 1.77 1.77 1.76 1.76 1.75 1.75 1.74 1.74 1.73 1.73 1.72 HEX BR5 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 HEX BR4 BD BC BB BA B9 B8 B7 B6 B5 B4 B3 B2 B1 B0 AF AE AD AC AB AA A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 9F 9E 9D 9C 9B 9A 99 98 97 96 95 94 93 92 91 90 VOLTS RMS 0.9441 0.9436 0.9430 0.9425 0.9419 0.9414 0.9408 0.9403 0.9397 0.9392 0.9386 0.9381 0.9375 0.9370 0.9365 0.9359 0.9354 0.9348 0.9343 0.9337 0.9332 0.9326 0.9321 0.9315 0.9310 0.9304 0.9299 0.9293 0.9288 0.9282 0.9277 0.9272 0.9266 0.9261 0.9255 0.9250 0.9244 0.9239 0.9233 0.9228 0.9222 0.9217 0.9211 0.9206 0.9200 0.9195 dBm (600) 1.72 1.71 1.71 1.70 1.70 1.69 1.69 1.68 1.68 1.67 1.67 1.66 1.66 1.65 1.65 1.64 1.64 1.63 1.63 1.62 1.62 1.61 1.61 1.60 1.60 1.59 1.59 1.58 1.58 1.57 1.57 1.56 1.56 1.55 1.55 1.54 1.54 1.53 1.53 1.52 1.52 1.51 1.50 1.50 1.49 1.49 HEX BR5 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 HEX BR4 8F 8E 8D 8C 8B 8A 89 88 87 86 85 84 83 82 81 80 7F 7E 7D 7C 7B 7A 79 78 77 76 75 74 73 72 71 70 6F 6E 6D 6C 6B 6A 69 68 67 66 65 64 63 62 VOLTS RMS 0.9189 0.9184 0.9178 0.9173 0.9168 0.9162 0.9157 0.9151 0.9146 0.9140 0.9135 0.9129 0.9124 0.9118 0.9113 0.9107 0.9102 0.9096 0.9091 0.9085 0.9080 0.9074 0.9069 0.9064 0.9058 0.9053 0.9047 0.9042 0.9036 0.9031 0.9025 0.9020 0.9014 0.9009 0.9003 0.8998 0.8992 0.8987 0.8981 0.8976 0.8970 0.8965 0.8960 0.8954 0.8949 0.8943 dBm (600) 1.48 1.48 1.47 1.47 1.46 1.46 1.45 1.45 1.44 1.44 1.43 1.43 1.42 1.42 1.41 1.41 1.40 1.40 1.39 1.39 1.38 1.37 1.37 1.36 1.36 1.35 1.35 1.34 1.34 1.33 1.33 1.32 1.32 1.31 1.31 1.30 1.30 1.29 1.29 1.28 1.27 1.27 1.26 1.26 1.25 1.25
BCD 1771 1770 1769 1768 1767 1766 1765 1764 1763 1762 1761 1760 1759 1758 1757 1756 1755 1754 1753 1752 1751 1750 1749 1748 1747 1746 1745 1744 1743 1742 1741 1740 1739 1738 1737 1736 1735 1734 1733 1732 1731 1730 1729 1728 1727 1726
BCD 1725 1724 1723 1722 1721 1720 1719 1718 1717 1716 1715 1714 1713 1712 1711 1710 1709 1708 1707 1706 1705 1704 1703 1702 1701 1700 1699 1698 1697 1696 1695 1694 1693 1692 1691 1690 1689 1688 1687 1686 1685 1684 1683 1682 1681 1680
BCD 1679 1678 1677 1676 1675 1674 1673 1672 1671 1670 1669 1668 1667 1666 1665 1664 1663 1662 1661 1660 1659 1658 1657 1656 1655 1654 1653 1652 1651 1650 1649 1648 1647 1646 1645 1644 1643 1642 1641 1640 1639 1638 1637 1636 1635 1634
8-36
MC145540
MOTOROLA
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 HEX BR4 61 60 5F 5E 5D 5C 5B 5A 59 58 57 56 55 54 53 52 51 50 4F 4E 4D 4C 4B 4A 49 48 47 46 45 44 43 42 41 40 3F 3E 3D 3C 3B 3A 39 38 37 36 35 34 VOLTS RMS 0.8938 0.8932 0.8927 0.8921 0.8916 0.8910 0.8905 0.8899 0.8894 0.8888 0.8883 0.8877 0.8872 0.8866 0.8861 0.8856 0.8850 0.8845 0.8839 0.8834 0.8828 0.8823 0.8817 0.8812 0.8806 0.8801 0.8795 0.8790 0.8784 0.8779 0.8773 0.8768 0.8763 0.8757 0.8752 0.8746 0.8741 0.8735 0.8730 0.8724 0.8719 0.8713 0.8708 0.8702 0.8697 0.8691 dBm (600) 1.24 1.24 1.23 1.23 1.22 1.22 1.21 1.21 1.20 1.19 1.19 1.18 1.18 1.17 1.17 1.16 1.16 1.15 1.15 1.14 1.14 1.13 1.13 1.12 1.11 1.11 1.10 1.10 1.09 1.09 1.08 1.08 1.07 1.07 1.06 1.05 1.05 1.04 1.04 1.03 1.03 1.02 1.02 1.01 1.01 1.00 HEX BR5 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 6 HEX BR4 33 32 31 30 2F 2E 2D 2C 2B 2A 29 28 27 26 25 24 23 22 21 20 1F 1E 1D 1C 1B 1A 19 18 17 16 15 14 13 12 11 10 0F 0E 0D 0C 0B 0A 09 08 07 06 VOLTS RMS 0.8686 0.8680 0.8675 0.8669 0.8664 0.8659 0.8653 0.8648 0.8642 0.8637 0.8631 0.8626 0.8620 0.8615 0.8609 0.8604 0.8598 0.8593 0.8587 0.8582 0.8576 0.8571 0.8565 0.8560 0.8555 0.8549 0.8544 0.8538 0.8533 0.8527 0.8522 0.8516 0.8511 0.8505 0.8500 0.8494 0.8489 0.8483 0.8478 0.8472 0.8467 0.8461 0.8456 0.8451 0.8445 0.8440 dBm (600) 0.99 0.99 0.98 0.98 0.97 0.97 0.96 0.96 0.95 0.95 0.94 0.93 0.93 0.92 0.92 0.91 0.91 0.90 0.90 0.89 0.88 0.88 0.87 0.87 0.86 0.86 0.85 0.85 0.84 0.83 0.83 0.82 0.82 0.81 0.81 0.80 0.80 0.79 0.78 0.78 0.77 0.77 0.76 0.76 0.75 0.74 HEX BR5 6 6 6 6 6 6 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 HEX BR4 05 04 03 02 01 00 FF FE FD FC FB FA F9 F8 F7 F6 F5 F4 F3 F2 F1 F0 EF EE ED EC EB EA E9 E8 E7 E6 E5 E4 E3 E2 E1 E0 DF DE DD DC DB DA D9 D8 VOLTS RMS 0.8434 0.8429 0.8423 0.8418 0.8412 0.8407 0.8401 0.8396 0.8390 0.8385 0.8379 0.8374 0.8368 0.8363 0.8357 0.8352 0.8347 0.8341 0.8336 0.8330 0.8325 0.8319 0.8314 0.8308 0.8303 0.8297 0.8292 0.8286 0.8281 0.8275 0.8270 0.8264 0.8259 0.8254 0.8248 0.8243 0.8237 0.8232 0.8226 0.8221 0.8215 0.8210 0.8204 0.8199 0.8193 0.8188 dBm (600) 0.74 0.73 0.73 0.72 0.72 0.71 0.71 0.70 0.69 0.69 0.68 0.68 0.67 0.67 0.66 0.65 0.65 0.64 0.64 0.63 0.63 0.62 0.61 0.61 0.60 0.60 0.59 0.59 0.58 0.57 0.57 0.56 0.56 0.55 0.55 0.54 0.53 0.53 0.52 0.52 0.51 0.51 0.50 0.49 0.49 0.48
BCD 1633 1632 1631 1630 1629 1628 1627 1626 1625 1624 1623 1622 1621 1620 1619 1618 1617 1616 1615 1614 1613 1612 1611 1610 1609 1608 1607 1606 1605 1604 1603 1602 1601 1600 1599 1598 1597 1596 1595 1594 1593 1592 1591 1590 1589 1588
BCD 1587 1586 1585 1584 1583 1582 1581 1580 1579 1578 1577 1576 1575 1574 1573 1572 1571 1570 1569 1568 1567 1566 1565 1564 1563 1562 1561 1560 1559 1558 1557 1556 1555 1554 1553 1552 1551 1550 1549 1548 1547 1546 1545 1544 1543 1542
BCD 1541 1540 1539 1538 1537 1536 1535 1534 1533 1532 1531 1530 1529 1528 1527 1526 1525 1524 1523 1522 1521 1520 1519 1518 1517 1516 1515 1514 1513 1512 1511 1510 1509 1508 1507 1506 1505 1504 1503 1502 1501 1500 1499 1498 1497 1496
MOTOROLA
MC145540
8-37
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 HEX BR4 D7 D6 D5 D4 D3 D2 D1 D0 CF CE CD CC CB CA C9 C8 C7 C6 C5 C4 C3 C2 C1 C0 BF BE BD BC BB BA B9 B8 B7 B6 B5 B4 B3 B2 B1 B0 AF AE AD AC AB AA VOLTS RMS 0.8182 0.8177 0.8171 0.8166 0.8160 0.8155 0.8150 0.8144 0.8139 0.8133 0.8128 0.8122 0.8117 0.8111 0.8106 0.8100 0.8095 0.8089 0.8084 0.8078 0.8073 0.8067 0.8062 0.8056 0.8051 0.8046 0.8040 0.8035 0.8029 0.8024 0.8018 0.8013 0.8007 0.8002 0.7996 0.7991 0.7985 0.7980 0.7974 0.7969 0.7963 0.7958 0.7952 0.7947 0.7942 0.7936 dBm (600) 0.48 0.47 0.46 0.46 0.45 0.45 0.44 0.44 0.43 0.42 0.42 0.41 0.41 0.40 0.39 0.39 0.38 0.38 0.37 0.36 0.36 0.35 0.35 0.34 0.34 0.33 0.32 0.32 0.31 0.31 0.30 0.29 0.29 0.28 0.28 0.27 0.26 0.26 0.25 0.25 0.24 0.23 0.23 0.22 0.22 0.21 HEX BR5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 HEX BR4 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 9F 9E 9D 9C 9B 9A 99 98 97 96 95 94 93 92 91 90 8F 8E 8D 8C 8B 8A 89 88 87 86 85 84 83 82 81 80 7F 7E 7D 7C VOLTS RMS 0.7931 0.7925 0.7920 0.7914 0.7909 0.7903 0.7898 0.7892 0.7887 0.7881 0.7876 0.7870 0.7865 0.7859 0.7854 0.7848 0.7843 0.7838 0.7832 0.7827 0.7821 0.7816 0.7810 0.7805 0.7799 0.7794 0.7788 0.7783 0.7777 0.7772 0.7766 0.7761 0.7755 0.7750 0.7744 0.7739 0.7734 0.7728 0.7723 0.7717 0.7712 0.7706 0.7701 0.7695 0.7690 0.7684 dBm (600) 0.20 0.20 0.19 0.19 0.18 0.17 0.17 0.16 0.16 0.15 0.14 0.14 0.13 0.13 0.12 0.11 0.11 0.10 0.10 0.09 0.08 0.08 0.07 0.07 0.06 0.05 0.05 0.04 0.04 0.03 0.02 0.02 0.01 0.00 0.00 -0.01 -0.01 -0.02 -0.03 -0.03 -0.04 -0.04 -0.05 -0.06 -0.06 -0.07 HEX BR5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 HEX BR4 7B 7A 79 78 77 76 75 74 73 72 71 70 6F 6E 6D 6C 6B 6A 69 68 67 66 65 64 63 62 61 60 5F 5E 5D 5C 5B 5A 59 58 57 56 55 54 53 52 51 50 4F 4E VOLTS RMS 0.7679 0.7673 0.7668 0.7662 0.7657 0.7651 0.7646 0.7641 0.7635 0.7630 0.7624 0.7619 0.7613 0.7608 0.7602 0.7597 0.7591 0.7586 0.7580 0.7575 0.7569 0.7564 0.7558 0.7553 0.7547 0.7542 0.7537 0.7531 0.7526 0.7520 0.7515 0.7509 0.7504 0.7498 0.7493 0.7487 0.7482 0.7476 0.7471 0.7465 0.7460 0.7454 0.7449 0.7443 0.7438 0.7433 dBm (600) -0.08 -0.08 -0.09 -0.09 -0.10 -0.11 -0.11 -0.12 -0.13 -0.13 -0.14 -0.14 -0.15 -0.16 -0.16 -0.17 -0.18 -0.18 -0.19 -0.19 -0.20 -0.21 -0.21 -0.22 -0.23 -0.23 -0.24 -0.24 -0.25 -0.26 -0.26 -0.27 -0.28 -0.28 -0.29 -0.30 -0.30 -0.31 -0.31 -0.32 -0.33 -0.33 -0.34 -0.35 -0.35 -0.36
BCD 1495 1494 1493 1492 1491 1490 1489 1488 1487 1486 1485 1484 1483 1482 1481 1480 1479 1478 1477 1476 1475 1474 1473 1472 1471 1470 1469 1468 1467 1466 1465 1464 1463 1462 1461 1460 1459 1458 1457 1456 1455 1454 1453 1452 1451 1450
BCD 1449 1448 1447 1446 1445 1444 1443 1442 1441 1440 1439 1438 1437 1436 1435 1434 1433 1432 1431 1430 1429 1428 1427 1426 1425 1424 1423 1422 1421 1420 1419 1418 1417 1416 1415 1414 1413 1412 1411 1410 1409 1408 1407 1406 1405 1404
BCD 1403 1402 1401 1400 1399 1398 1397 1396 1395 1394 1393 1392 1391 1390 1389 1388 1387 1386 1385 1384 1383 1382 1381 1380 1379 1378 1377 1376 1375 1374 1373 1372 1371 1370 1369 1368 1367 1366 1365 1364 1363 1362 1361 1360 1359 1358
8-38
MC145540
MOTOROLA
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 HEX BR4 4D 4C 4B 4A 49 48 47 46 45 44 43 42 41 40 3F 3E 3D 3C 3B 3A 39 38 37 36 35 34 33 32 31 30 2F 2E 2D 2C 2B 2A 29 28 27 26 25 24 23 22 21 20 VOLTS RMS 0.7427 0.7422 0.7416 0.7411 0.7405 0.7400 0.7394 0.7389 0.7383 0.7378 0.7372 0.7367 0.7361 0.7356 0.7350 0.7345 0.7339 0.7334 0.7329 0.7323 0.7318 0.7312 0.7307 0.7301 0.7296 0.7290 0.7285 0.7279 0.7274 0.7268 0.7263 0.7257 0.7252 0.7246 0.7241 0.7235 0.7230 0.7225 0.7219 0.7214 0.7208 0.7203 0.7197 0.7192 0.7186 0.7181 dBm (600) -0.37 -0.37 -0.38 -0.38 -0.39 -0.40 -0.40 -0.41 -0.42 -0.42 -0.43 -0.44 -0.44 -0.45 -0.46 -0.46 -0.47 -0.47 -0.48 -0.49 -0.49 -0.50 -0.51 -0.51 -0.52 -0.53 -0.53 -0.54 -0.55 -0.55 -0.56 -0.57 -0.57 -0.58 -0.59 -0.59 -0.60 -0.61 -0.61 -0.62 -0.63 -0.63 -0.64 -0.64 -0.65 -0.66 HEX BR5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 5 4 4 4 4 4 4 4 4 4 4 4 4 4 4 HEX BR4 1F 1E 1D 1C 1B 1A 19 18 17 16 15 14 13 12 11 10 0F 0E 0D 0C 0B 0A 09 08 07 06 05 04 03 02 01 00 FF FE FD FC FB FA F9 F8 F7 F6 F5 F4 F3 F2 VOLTS RMS 0.7175 0.7170 0.7164 0.7159 0.7153 0.7148 0.7142 0.7137 0.7132 0.7126 0.7121 0.7115 0.7110 0.7104 0.7099 0.7093 0.7088 0.7082 0.7077 0.7071 0.7066 0.7060 0.7055 0.7049 0.7044 0.7038 0.7033 0.7028 0.7022 0.7017 0.7011 0.7006 0.7000 0.6995 0.6989 0.6984 0.6978 0.6973 0.6967 0.6962 0.6956 0.6951 0.6945 0.6940 0.6934 0.6929 dBm (600) -0.66 -0.67 -0.68 -0.68 -0.69 -0.70 -0.70 -0.71 -0.72 -0.72 -0.73 -0.74 -0.74 -0.75 -0.76 -0.76 -0.77 -0.78 -0.78 -0.79 -0.80 -0.80 -0.81 -0.82 -0.83 -0.83 -0.84 -0.85 -0.85 -0.86 -0.87 -0.87 -0.88 -0.89 -0.89 -0.90 -0.91 -0.91 -0.92 -0.93 -0.93 -0.94 -0.95 -0.95 -0.96 -0.97 HEX BR5 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 HEX BR4 F1 F0 EF EE ED EC EB EA E9 E8 E7 E6 E5 E4 E3 E2 E1 E0 DF DE DD DC DB DA D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 CF CE CD CC CB CA C9 C8 C7 C6 C5 C4 VOLTS RMS 0.6924 0.6918 0.6913 0.6907 0.6902 0.6896 0.6891 0.6885 0.6880 0.6874 0.6869 0.6863 0.6858 0.6852 0.6847 0.6841 0.6836 0.6830 0.6825 0.6820 0.6814 0.6809 0.6803 0.6798 0.6792 0.6787 0.6781 0.6776 0.6770 0.6765 0.6759 0.6754 0.6748 0.6743 0.6737 0.6732 0.6726 0.6721 0.6716 0.6710 0.6705 0.6699 0.6694 0.6688 0.6683 0.6677 dBm (600) -0.97 -0.98 -0.99 -1.00 -1.00 -1.01 -1.02 -1.02 -1.03 -1.04 -1.04 -1.05 -1.06 -1.06 -1.07 -1.08 -1.09 -1.09 -1.10 -1.11 -1.11 -1.12 -1.13 -1.13 -1.14 -1.15 -1.16 -1.16 -1.17 -1.18 -1.18 -1.19 -1.20 -1.20 -1.21 -1.22 -1.23 -1.23 -1.24 -1.25 -1.25 -1.26 -1.27 -1.28 -1.28 -1.29
BCD 1357 1356 1355 1354 1353 1352 1351 1350 1349 1348 1347 1346 1345 1344 1343 1342 1341 1340 1339 1338 1337 1336 1335 1334 1333 1332 1331 1330 1329 1328 1327 1326 1325 1324 1323 1322 1321 1320 1319 1318 1317 1316 1315 1314 1313 1312
BCD 1311 1310 1309 1308 1307 1306 1305 1304 1303 1302 1301 1300 1299 1298 1297 1296 1295 1294 1293 1292 1291 1290 1289 1288 1287 1286 1285 1284 1283 1282 1281 1280 1279 1278 1277 1276 1275 1274 1273 1272 1271 1270 1269 1268 1267 1266
BCD 1265 1264 1263 1262 1261 1260 1259 1258 1257 1256 1255 1254 1253 1252 1251 1250 1249 1248 1247 1246 1245 1244 1243 1242 1241 1240 1239 1238 1237 1236 1235 1234 1233 1232 1231 1230 1229 1228 1227 1226 1225 1224 1223 1222 1221 1220
MOTOROLA
MC145540
8-39
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 HEX BR4 C3 C2 C1 C0 BF BE BD BC BB BA B9 B8 B7 B6 B5 B4 B3 B2 B1 B0 AF AE AD AC AB AA A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 9F 9E 9D 9C 9B 9A 99 98 97 96 VOLTS RMS 0.6672 0.6666 0.6661 0.6655 0.6650 0.6644 0.6639 0.6633 0.6628 0.6623 0.6617 0.6612 0.6606 0.6601 0.6595 0.6590 0.6584 0.6579 0.6573 0.6568 0.6562 0.6557 0.6551 0.6546 0.6540 0.6535 0.6529 0.6524 0.6519 0.6513 0.6508 0.6502 0.6497 0.6491 0.6486 0.6480 0.6475 0.6469 0.6464 0.6458 0.6453 0.6447 0.6442 0.6436 0.6431 0.6425 dBm (600) -1.30 -1.30 -1.31 -1.32 -1.33 -1.33 -1.34 -1.35 -1.35 -1.36 -1.37 -1.38 -1.38 -1.39 -1.40 -1.40 -1.41 -1.42 -1.43 -1.43 -1.44 -1.45 -1.45 -1.46 -1.47 -1.48 -1.48 -1.49 -1.50 -1.51 -1.51 -1.52 -1.53 -1.54 -1.54 -1.55 -1.56 -1.56 -1.57 -1.58 -1.59 -1.59 -1.60 -1.61 -1.62 -1.62 HEX BR5 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 HEX BR4 95 94 93 92 91 90 8F 8E 8D 8C 8B 8A 89 88 87 86 85 84 83 82 81 80 7F 7E 7D 7C 7B 7A 79 78 77 76 75 74 73 72 71 70 6F 6E 6D 6C 6B 6A 69 68 VOLTS RMS 0.6420 0.6415 0.6409 0.6404 0.6398 0.6393 0.6387 0.6382 0.6376 0.6371 0.6365 0.6360 0.6354 0.6349 0.6343 0.6338 0.6332 0.6327 0.6321 0.6316 0.6311 0.6305 0.6300 0.6294 0.6289 0.6283 0.6278 0.6272 0.6267 0.6261 0.6256 0.6250 0.6245 0.6239 0.6234 0.6228 0.6223 0.6217 0.6212 0.6207 0.6201 0.6196 0.6190 0.6185 0.6179 0.6174 dBm (600) -1.63 -1.64 -1.65 -1.65 -1.66 -1.67 -1.68 -1.68 -1.69 -1.70 -1.71 -1.71 -1.72 -1.73 -1.74 -1.74 -1.75 -1.76 -1.77 -1.77 -1.78 -1.79 -1.80 -1.80 -1.81 -1.82 -1.83 -1.83 -1.84 -1.85 -1.86 -1.86 -1.87 -1.88 -1.89 -1.89 -1.90 -1.91 -1.92 -1.92 -1.93 -1.94 -1.95 -1.96 -1.96 -1.97 HEX BR5 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 HEX BR4 67 66 65 64 63 62 61 60 5F 5E 5D 5C 5B 5A 59 58 57 56 55 54 53 52 51 50 4F 4E 4D 4C 4B 4A 49 48 47 46 45 44 43 42 41 40 3F 3E 3D 3C 3B 3A VOLTS RMS 0.6168 0.6163 0.6157 0.6152 0.6146 0.6141 0.6135 0.6130 0.6124 0.6119 0.6114 0.6108 0.6103 0.6097 0.6092 0.6086 0.6081 0.6075 0.6070 0.6064 0.6059 0.6053 0.6048 0.6042 0.6037 0.6031 0.6026 0.6020 0.6015 0.6010 0.6004 0.5999 0.5993 0.5988 0.5982 0.5977 0.5971 0.5966 0.5960 0.5955 0.5949 0.5944 0.5938 0.5933 0.5927 0.5922 dBm (600) -1.98 -1.99 -1.99 -2.00 -2.01 -2.02 -2.02 -2.03 -2.04 -2.05 -2.06 -2.06 -2.07 -2.08 -2.09 -2.09 -2.10 -2.11 -2.12 -2.13 -2.13 -2.14 -2.15 -2.16 -2.17 -2.17 -2.18 -2.19 -2.20 -2.20 -2.21 -2.22 -2.23 -2.24 -2.24 -2.25 -2.26 -2.27 -2.28 -2.28 -2.29 -2.30 -2.31 -2.32 -2.32 -2.33
BCD 1219 1218 1217 1216 1215 1214 1213 1212 1211 1210 1209 1208 1207 1206 1205 1204 1203 1202 1201 1200 1199 1198 1197 1196 1195 1194 1193 1192 1191 1190 1189 1188 1187 1186 1185 1184 1183 1182 1181 1180 1179 1178 1177 1176 1175 1174
BCD 1173 1172 1171 1170 1169 1168 1167 1166 1165 1164 1163 1162 1161 1160 1159 1158 1157 1156 1155 1154 1153 1152 1151 1150 1149 1148 1147 1146 1145 1144 1143 1142 1141 1140 1139 1138 1137 1136 1135 1134 1133 1132 1131 1130 1129 1128
BCD 1127 1126 1125 1124 1123 1122 1121 1120 1119 1118 1117 1116 1115 1114 1113 1112 1111 1110 1109 1108 1107 1106 1105 1104 1103 1102 1101 1100 1099 1098 1097 1096 1095 1094 1093 1092 1091 1090 1089 1088 1087 1086 1085 1084 1083 1082
8-40
MC145540
MOTOROLA
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 4 HEX BR4 39 38 37 36 35 34 33 32 31 30 2F 2E 2D 2C 2B 2A 29 28 27 26 25 24 23 22 21 20 1F 1E 1D 1C 1B 1A 19 18 17 16 15 14 13 12 11 10 0F 0E 0D 0C VOLTS RMS 0.5916 0.5911 0.5906 0.5900 0.5895 0.5889 0.5884 0.5878 0.5873 0.5867 0.5862 0.5856 0.5851 0.5845 0.5840 0.5834 0.5829 0.5823 0.5818 0.5812 0.5807 0.5802 0.5796 0.5791 0.5785 0.5780 0.5774 0.5769 0.5763 0.5758 0.5752 0.5747 0.5741 0.5736 0.5730 0.5725 0.5719 0.5714 0.5708 0.5703 0.5698 0.5692 0.5687 0.5681 0.5676 0.5670 dBm (600) -2.34 -2.35 -2.36 -2.36 -2.37 -2.38 -2.39 -2.40 -2.40 -2.41 -2.42 -2.43 -2.44 -2.45 -2.45 -2.46 -2.47 -2.48 -2.49 -2.49 -2.50 -2.51 -2.52 -2.53 -2.54 -2.54 -2.55 -2.56 -2.57 -2.58 -2.58 -2.59 -2.60 -2.61 -2.62 -2.63 -2.63 -2.64 -2.65 -2.66 -2.67 -2.68 -2.68 -2.69 -2.70 -2.71 HEX BR5 4 4 4 4 4 4 4 4 4 4 4 4 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 HEX BR4 0B 0A 09 08 07 06 05 04 03 02 01 00 FF FE FD FC FB FA F9 F8 F7 F6 F5 F4 F3 F2 F1 F0 EF EE ED EC EB EA E9 E8 E7 E6 E5 E4 E3 E2 E1 E0 DF DE VOLTS RMS 0.5665 0.5659 0.5654 0.5648 0.5643 0.5637 0.5632 0.5626 0.5621 0.5615 0.5610 0.5605 0.5599 0.5594 0.5588 0.5583 0.5577 0.5572 0.5566 0.5561 0.5555 0.5550 0.5544 0.5539 0.5533 0.5528 0.5522 0.5517 0.5511 0.5506 0.5501 0.5495 0.5490 0.5484 0.5479 0.5473 0.5468 0.5462 0.5457 0.5451 0.5446 0.5440 0.5435 0.5429 0.5424 0.5418 dBm (600) -2.72 -2.73 -2.73 -2.74 -2.75 -2.76 -2.77 -2.78 -2.79 -2.79 -2.80 -2.81 -2.82 -2.83 -2.84 -2.84 -2.85 -2.86 -2.87 -2.88 -2.89 -2.90 -2.90 -2.91 -2.92 -2.93 -2.94 -2.95 -2.96 -2.96 -2.97 -2.98 -2.99 -3.00 -3.01 -3.02 -3.03 -3.03 -3.04 -3.05 -3.06 -3.07 -3.08 -3.09 -3.10 -3.10 HEX BR5 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 HEX BR4 DD DC DB DA D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 CF CE CD CC CB CA C9 C8 C7 C6 C5 C4 C3 C2 C1 C0 BF BE BD BC BB BA B9 B8 B7 B6 B5 B4 B3 B2 B1 B0 VOLTS RMS 0.5413 0.5407 0.5402 0.5397 0.5391 0.5386 0.5380 0.5375 0.5369 0.5364 0.5358 0.5353 0.5347 0.5342 0.5336 0.5331 0.5325 0.5320 0.5314 0.5309 0.5303 0.5298 0.5293 0.5287 0.5282 0.5276 0.5271 0.5265 0.5260 0.5254 0.5249 0.5243 0.5238 0.5232 0.5227 0.5221 0.5216 0.5210 0.5205 0.5199 0.5194 0.5189 0.5183 0.5178 0.5172 0.5167 dBm (600) -3.11 -3.12 -3.13 -3.14 -3.15 -3.16 -3.17 -3.17 -3.18 -3.19 -3.20 -3.21 -3.22 -3.23 -3.24 -3.25 -3.25 -3.26 -3.27 -3.28 -3.29 -3.30 -3.31 -3.32 -3.33 -3.34 -3.34 -3.35 -3.36 -3.37 -3.38 -3.39 -3.40 -3.41 -3.42 -3.43 -3.43 -3.44 -3.45 -3.46 -3.47 -3.48 -3.49 -3.50 -3.51 -3.52
BCD 1081 1080 1079 1078 1077 1076 1075 1074 1073 1072 1071 1070 1069 1068 1067 1066 1065 1064 1063 1062 1061 1060 1059 1058 1057 1056 1055 1054 1053 1052 1051 1050 1049 1048 1047 1046 1045 1044 1043 1042 1041 1040 1039 1038 1037 1036
BCD 1035 1034 1033 1032 1031 1030 1029 1028 1027 1026 1025 1024 1023 1022 1021 1020 1019 1018 1017 1016 1015 1014 1013 1012 1011 1010 1009 1008 1007 1006 1005 1004 1003 1002 1001 1000 999 998 997 996 995 994 993 992 991 990
BCD 989 988 987 986 985 984 983 982 981 980 979 978 977 976 975 974 973 972 971 970 969 968 967 966 965 964 963 962 961 960 959 958 957 956 955 954 953 952 951 950 949 948 947 946 945 944
MOTOROLA
MC145540
8-41
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 HEX BR4 AF AE AD AC AB AA A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 9F 9E 9D 9C 9B 9A 99 98 97 96 95 94 93 92 91 90 8F 8E 8D 8C 8B 8A 89 88 87 86 85 84 83 82 VOLTS RMS 0.5161 0.5156 0.5150 0.5145 0.5139 0.5134 0.5128 0.5123 0.5117 0.5112 0.5106 0.5101 0.5095 0.5090 0.5085 0.5079 0.5074 0.5068 0.5063 0.5057 0.5052 0.5046 0.5041 0.5035 0.5030 0.5024 0.5019 0.5013 0.5008 0.5002 0.4997 0.4992 0.4986 0.4981 0.4975 0.4970 0.4964 0.4959 0.4953 0.4948 0.4942 0.4937 0.4931 0.4926 0.4920 0.4915 dBm (600) -3.53 -3.54 -3.54 -3.55 -3.56 -3.57 -3.58 -3.59 -3.60 -3.61 -3.62 -3.63 -3.64 -3.65 -3.66 -3.67 -3.68 -3.68 -3.69 -3.70 -3.71 -3.72 -3.73 -3.74 -3.75 -3.76 -3.77 -3.78 -3.79 -3.80 -3.81 -3.82 -3.83 -3.84 -3.85 -3.86 -3.86 -3.87 -3.88 -3.89 -3.90 -3.91 -3.92 -3.93 -3.94 -3.95 HEX BR5 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 HEX BR4 81 80 7F 7E 7D 7C 7B 7A 79 78 77 76 75 74 73 72 71 70 6F 6E 6D 6C 6B 6A 69 68 67 66 65 64 63 62 61 60 5F 5E 5D 5C 5B 5A 59 58 57 56 55 54 VOLTS RMS 0.4909 0.4904 0.4898 0.4893 0.4888 0.4882 0.4877 0.4871 0.4866 0.4860 0.4855 0.4849 0.4844 0.4838 0.4833 0.4827 0.4822 0.4816 0.4811 0.4805 0.4800 0.4794 0.4789 0.4784 0.4778 0.4773 0.4767 0.4762 0.4756 0.4751 0.4745 0.4740 0.4734 0.4729 0.4723 0.4718 0.4712 0.4707 0.4701 0.4696 0.4690 0.4685 0.4680 0.4674 0.4669 0.4663 dBm (600) -3.96 -3.97 -3.98 -3.99 -4.00 -4.01 -4.02 -4.03 -4.04 -4.05 -4.06 -4.07 -4.08 -4.09 -4.10 -4.11 -4.12 -4.13 -4.14 -4.15 -4.16 -4.17 -4.18 -4.19 -4.20 -4.21 -4.22 -4.23 -4.24 -4.25 -4.26 -4.27 -4.28 -4.29 -4.30 -4.31 -4.32 -4.33 -4.34 -4.35 -4.36 -4.37 -4.38 -4.39 -4.40 -4.41 HEX BR5 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 HEX BR4 53 52 51 50 4F 4E 4D 4C 4B 4A 49 48 47 46 45 44 43 42 41 40 3F 3E 3D 3C 3B 3A 39 38 37 36 35 34 33 32 31 30 2F 2E 2D 2C 2B 2A 29 28 27 26 VOLTS RMS 0.4658 0.4652 0.4647 0.4641 0.4636 0.4630 0.4625 0.4619 0.4614 0.4608 0.4603 0.4597 0.4592 0.4586 0.4581 0.4576 0.4570 0.4565 0.4559 0.4554 0.4548 0.4543 0.4537 0.4532 0.4526 0.4521 0.4515 0.4510 0.4504 0.4499 0.4493 0.4488 0.4483 0.4477 0.4472 0.4466 0.4461 0.4455 0.4450 0.4444 0.4439 0.4433 0.4428 0.4422 0.4417 0.4411 dBm (600) -4.42 -4.43 -4.44 -4.45 -4.46 -4.47 -4.48 -4.49 -4.50 -4.51 -4.52 -4.53 -4.54 -4.55 -4.56 -4.57 -4.58 -4.59 -4.60 -4.61 -4.62 -4.64 -4.65 -4.66 -4.67 -4.68 -4.69 -4.70 -4.71 -4.72 -4.73 -4.74 -4.75 -4.76 -4.77 -4.78 -4.79 -4.80 -4.81 -4.83 -4.84 -4.85 -4.86 -4.87 -4.88 -4.89
BCD 943 942 941 940 939 938 937 936 935 934 933 932 931 930 929 928 927 926 925 924 923 922 921 920 919 918 917 916 915 914 913 912 911 910 909 908 907 906 905 904 903 902 901 900 899 898
BCD 897 896 895 894 893 892 891 890 889 888 887 886 885 884 883 882 881 880 879 878 877 876 875 874 873 872 871 870 869 868 867 866 865 864 863 862 861 860 859 858 857 856 855 854 853 852
BCD 851 850 849 848 847 846 845 844 843 842 841 840 839 838 837 836 835 834 833 832 831 830 829 828 827 826 825 824 823 822 821 820 819 818 817 816 815 814 813 812 811 810 809 808 807 806
8-42
MC145540
MOTOROLA
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 3 2 2 2 2 2 2 2 2 HEX BR4 25 24 23 22 21 20 1F 1E 1D 1C 1B 1A 19 18 17 16 15 14 13 12 11 10 0F 0E 0D 0C 0B 0A 09 08 07 06 05 04 03 02 01 00 FF FE FD FC FB FA F9 F8 VOLTS RMS 0.4406 0.4400 0.4395 0.4389 0.4384 0.4379 0.4373 0.4368 0.4362 0.4357 0.4351 0.4346 0.4340 0.4335 0.4329 0.4324 0.4318 0.4313 0.4307 0.4302 0.4296 0.4291 0.4285 0.4280 0.4275 0.4269 0.4264 0.4258 0.4253 0.4247 0.4242 0.4236 0.4231 0.4225 0.4220 0.4214 0.4209 0.4203 0.4198 0.4192 0.4187 0.4181 0.4176 0.4171 0.4165 0.4160 dBm (600) -4.90 -4.91 -4.92 -4.93 -4.94 -4.95 -4.97 -4.98 -4.99 -5.00 -5.01 -5.02 -5.03 -5.04 -5.05 -5.06 -5.08 -5.09 -5.10 -5.11 -5.12 -5.13 -5.14 -5.15 -5.16 -5.17 -5.19 -5.20 -5.21 -5.22 -5.23 -5.24 -5.25 -5.26 -5.28 -5.29 -5.30 -5.31 -5.32 -5.33 -5.34 -5.35 -5.37 -5.38 -5.39 -5.40 HEX BR5 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 HEX BR4 F7 F6 F5 F4 F3 F2 F1 F0 EF EE ED EC EB EA E9 E8 E7 E6 E5 E4 E3 E2 E1 E0 DF DE DD DC DB DA D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 CF CE CD CC CB CA VOLTS RMS 0.4154 0.4149 0.4143 0.4138 0.4132 0.4127 0.4121 0.4116 0.4110 0.4105 0.4099 0.4094 0.4088 0.4083 0.4077 0.4072 0.4067 0.4061 0.4056 0.4050 0.4045 0.4039 0.4034 0.4028 0.4023 0.4017 0.4012 0.4006 0.4001 0.3995 0.3990 0.3984 0.3979 0.3974 0.3968 0.3963 0.3957 0.3952 0.3946 0.3941 0.3935 0.3930 0.3924 0.3919 0.3913 0.3908 dBm (600) -5.41 -5.42 -5.43 -5.45 -5.46 -5.47 -5.48 -5.49 -5.50 -5.52 -5.53 -5.54 -5.55 -5.56 -5.57 -5.59 -5.60 -5.61 -5.62 -5.63 -5.64 -5.66 -5.67 -5.68 -5.69 -5.70 -5.71 -5.73 -5.74 -5.75 -5.76 -5.77 -5.79 -5.80 -5.81 -5.82 -5.83 -5.85 -5.86 -5.87 -5.88 -5.89 -5.91 -5.92 -5.93 -5.94 HEX BR5 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 HEX BR4 C9 C8 C7 C6 C5 C4 C3 C2 C1 C0 BF BE BD BC BB BA B9 B8 B7 B6 B5 B4 B3 B2 B1 B0 AF AE AD AC AB AA A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 9F 9E 9D 9C VOLTS RMS 0.3902 0.3897 0.3891 0.3886 0.3880 0.3875 0.3870 0.3864 0.3859 0.3853 0.3848 0.3842 0.3837 0.3831 0.3826 0.3820 0.3815 0.3809 0.3804 0.3798 0.3793 0.3787 0.3782 0.3776 0.3771 0.3766 0.3760 0.3755 0.3749 0.3744 0.3738 0.3733 0.3727 0.3722 0.3716 0.3711 0.3705 0.3700 0.3694 0.3689 0.3683 0.3678 0.3672 0.3667 0.3662 0.3656 dBm (600) -5.95 -5.97 -5.98 -5.99 -6.00 -6.02 -6.03 -6.04 -6.05 -6.07 -6.08 -6.09 -6.10 -6.11 -6.13 -6.14 -6.15 -6.16 -6.18 -6.19 -6.20 -6.21 -6.23 -6.24 -6.25 -6.27 -6.28 -6.29 -6.30 -6.32 -6.33 -6.34 -6.35 -6.37 -6.38 -6.39 -6.41 -6.42 -6.43 -6.44 -6.46 -6.47 -6.48 -6.50 -6.51 -6.52
BCD 805 804 803 802 801 800 799 798 797 796 795 794 793 792 791 790 789 788 787 786 785 784 783 782 781 780 779 778 777 776 775 774 773 772 771 770 769 768 767 766 765 764 763 762 761 760
BCD 759 758 757 756 755 754 753 752 751 750 749 748 747 746 745 744 743 742 741 740 739 738 737 736 735 734 733 732 731 730 729 728 727 726 725 724 723 722 721 720 719 718 717 716 715 714
BCD 713 712 711 710 709 708 707 706 705 704 703 702 701 700 699 698 697 696 695 694 693 692 691 690 689 688 687 686 685 684 683 682 681 680 679 678 677 676 675 674 673 672 671 670 669 668
MOTOROLA
MC145540
8-43
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 HEX BR4 9B 9A 99 98 97 96 95 94 93 92 91 90 8F 8E 8D 8C 8B 8A 89 88 87 86 85 84 83 82 81 80 7F 7E 7D 7C 7B 7A 79 78 77 76 75 74 73 72 71 70 6F 6E VOLTS RMS 0.3651 0.3645 0.3640 0.3634 0.3629 0.3623 0.3618 0.3612 0.3607 0.3601 0.3596 0.3590 0.3585 0.3579 0.3574 0.3568 0.3563 0.3558 0.3552 0.3547 0.3541 0.3536 0.3530 0.3525 0.3519 0.3514 0.3508 0.3503 0.3497 0.3492 0.3486 0.3481 0.3475 0.3470 0.3465 0.3459 0.3454 0.3448 0.3443 0.3437 0.3432 0.3426 0.3421 0.3415 0.3410 0.3404 dBm (600) -6.53 -6.55 -6.56 -6.57 -6.59 -6.60 -6.61 -6.63 -6.64 -6.65 -6.67 -6.68 -6.69 -6.71 -6.72 -6.73 -6.75 -6.76 -6.77 -6.79 -6.80 -6.81 -6.83 -6.84 -6.85 -6.87 -6.88 -6.89 -6.91 -6.92 -6.93 -6.95 -6.96 -6.97 -6.99 -7.00 -7.02 -7.03 -7.04 -7.06 -7.07 -7.09 -7.10 -7.11 -7.13 -7.14 HEX BR5 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 HEX BR4 6D 6C 6B 6A 69 68 67 66 65 64 63 62 61 60 5F 5E 5D 5C 5B 5A 59 58 57 56 55 54 53 52 51 50 4F 4E 4D 4C 4B 4A 49 48 47 46 45 44 43 42 41 40 VOLTS RMS 0.3399 0.3393 0.3388 0.3382 0.3377 0.3371 0.3366 0.3361 0.3355 0.3350 0.3344 0.3339 0.3333 0.3328 0.3322 0.3317 0.3311 0.3306 0.3300 0.3295 0.3289 0.3284 0.3278 0.3273 0.3267 0.3262 0.3257 0.3251 0.3246 0.3240 0.3235 0.3229 0.3224 0.3218 0.3213 0.3207 0.3202 0.3196 0.3191 0.3185 0.3180 0.3174 0.3169 0.3163 0.3158 0.3153 dBm (600) -7.15 -7.17 -7.18 -7.20 -7.21 -7.23 -7.24 -7.25 -7.27 -7.28 -7.30 -7.31 -7.32 -7.34 -7.35 -7.37 -7.38 -7.40 -7.41 -7.42 -7.44 -7.45 -7.47 -7.48 -7.50 -7.51 -7.53 -7.54 -7.56 -7.57 -7.59 -7.60 -7.61 -7.63 -7.64 -7.66 -7.67 -7.69 -7.70 -7.72 -7.73 -7.75 -7.76 -7.78 -7.79 -7.81 HEX BR5 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 HEX BR4 3F 3E 3D 3C 3B 3A 39 38 37 36 35 34 33 32 31 30 2F 2E 2D 2C 2B 2A 29 28 27 26 25 24 23 22 21 20 1F 1E 1D 1C 1B 1A 19 18 17 16 15 14 13 12 VOLTS RMS 0.3147 0.3142 0.3136 0.3131 0.3125 0.3120 0.3114 0.3109 0.3103 0.3098 0.3092 0.3087 0.3081 0.3076 0.3070 0.3065 0.3059 0.3054 0.3049 0.3043 0.3038 0.3032 0.3027 0.3021 0.3016 0.3010 0.3005 0.2999 0.2994 0.2988 0.2983 0.2977 0.2972 0.2966 0.2961 0.2955 0.2950 0.2945 0.2939 0.2934 0.2928 0.2923 0.2917 0.2912 0.2906 0.2901 dBm (600) -7.82 -7.84 -7.85 -7.87 -7.88 -7.90 -7.91 -7.93 -7.95 -7.96 -7.98 -7.99 -8.01 -8.02 -8.04 -8.05 -8.07 -8.08 -8.10 -8.12 -8.13 -8.15 -8.16 -8.18 -8.19 -8.21 -8.23 -8.24 -8.26 -8.27 -8.29 -8.30 -8.32 -8.34 -8.35 -8.37 -8.38 -8.40 -8.42 -8.43 -8.45 -8.47 -8.48 -8.50 -8.51 -8.53
BCD 667 666 665 664 663 662 661 660 659 658 657 656 655 654 653 652 651 650 649 648 647 646 645 644 643 642 641 640 639 638 637 636 635 634 633 632 631 630 629 628 627 626 625 624 623 622
BCD 621 620 619 618 617 616 615 614 613 612 611 610 609 608 607 606 605 604 603 602 601 600 599 598 597 596 595 594 593 592 591 590 589 588 587 586 585 584 583 582 581 580 579 578 577 576
BCD 575 574 573 572 571 570 569 568 567 566 565 564 563 562 561 560 559 558 557 556 555 554 553 552 551 550 549 548 547 546 545 544 543 542 541 540 539 538 537 536 535 534 533 532 531 530
8-44
MC145540
MOTOROLA
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 HEX BR4 11 10 0F 0E 0D 0C 0B 0A 09 08 07 06 05 04 03 02 01 00 FF FE FD FC FB FA F9 F8 F7 F6 F5 F4 F3 F2 F1 F0 EF EE ED EC EB EA E9 E8 E7 E6 E5 E4 VOLTS RMS 0.2895 0.2890 0.2884 0.2879 0.2873 0.2868 0.2862 0.2857 0.2852 0.2846 0.2841 0.2835 0.2830 0.2824 0.2819 0.2813 0.2808 0.2802 0.2797 0.2791 0.2786 0.2780 0.2775 0.2769 0.2764 0.2758 0.2753 0.2748 0.2742 0.2737 0.2731 0.2726 0.2720 0.2715 0.2709 0.2704 0.2698 0.2693 0.2687 0.2682 0.2676 0.2671 0.2665 0.2660 0.2654 0.2649 dBm (600) -8.55 -8.56 -8.58 -8.60 -8.61 -8.63 -8.65 -8.66 -8.68 -8.70 -8.71 -8.73 -8.75 -8.76 -8.78 -8.80 -8.81 -8.83 -8.85 -8.87 -8.88 -8.90 -8.92 -8.93 -8.95 -8.97 -8.99 -9.00 -9.02 -9.04 -9.05 -9.07 -9.09 -9.11 -9.12 -9.14 -9.16 -9.18 -9.20 -9.21 -9.23 -9.25 -9.27 -9.28 -9.30 -9.32 HEX BR5 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 HEX BR4 E3 E2 E1 E0 DF DE DD DC DB DA D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 CF CE CD CC CB CA C9 C8 C7 C6 C5 C4 C3 C2 C1 C0 BF BE BD BC BB BA B9 B8 B7 B6 VOLTS RMS 0.2644 0.2638 0.2633 0.2627 0.2622 0.2616 0.2611 0.2605 0.2600 0.2594 0.2589 0.2583 0.2578 0.2572 0.2567 0.2561 0.2556 0.2550 0.2545 0.2540 0.2534 0.2529 0.2523 0.2518 0.2512 0.2507 0.2501 0.2496 0.2490 0.2485 0.2479 0.2474 0.2468 0.2463 0.2457 0.2452 0.2446 0.2441 0.2436 0.2430 0.2425 0.2419 0.2414 0.2408 0.2403 0.2397 dBm (600) -9.34 -9.36 -9.37 -9.39 -9.41 -9.43 -9.45 -9.46 -9.48 -9.50 -9.52 -9.54 -9.56 -9.57 -9.59 -9.61 -9.63 -9.65 -9.67 -9.69 -9.71 -9.72 -9.74 -9.76 -9.78 -9.80 -9.82 -9.84 -9.86 -9.88 -9.89 -9.91 -9.93 -9.95 -9.97 -9.99 -10.01 -10.03 -10.05 -10.07 -10.09 -10.11 -10.13 -10.15 -10.17 -10.19 HEX BR5 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 HEX BR4 B5 B4 B3 B2 B1 B0 AF AE AD AC AB AA A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 9F 9E 9D 9C 9B 9A 99 98 97 96 95 94 93 92 91 90 8F 8E 8D 8C 8B 8A 89 88 VOLTS RMS 0.2392 0.2386 0.2381 0.2375 0.2370 0.2364 0.2359 0.2353 0.2348 0.2343 0.2337 0.2332 0.2326 0.2321 0.2315 0.2310 0.2304 0.2299 0.2293 0.2288 0.2282 0.2277 0.2271 0.2266 0.2260 0.2255 0.2249 0.2244 0.2239 0.2233 0.2228 0.2222 0.2217 0.2211 0.2206 0.2200 0.2195 0.2189 0.2184 0.2178 0.2173 0.2167 0.2162 0.2156 0.2151 0.2145 dBm (600) -10.21 -10.23 -10.25 -10.27 -10.29 -10.31 -10.33 -10.35 -10.37 -10.39 -10.41 -10.43 -10.45 -10.47 -10.49 -10.51 -10.53 -10.55 -10.57 -10.59 -10.61 -10.63 -10.66 -10.68 -10.70 -10.72 -10.74 -10.76 -10.78 -10.80 -10.82 -10.85 -10.87 -10.89 -10.91 -10.93 -10.95 -10.98 -11.00 -11.02 -11.04 -11.06 -11.08 -11.11 -11.13 -11.15
BCD 529 528 527 526 525 524 523 522 521 520 519 518 517 516 515 514 513 512 511 510 509 508 507 506 505 504 503 502 501 500 499 498 497 496 495 494 493 492 491 490 489 488 487 486 485 484
BCD 483 482 481 480 479 478 477 476 475 474 473 472 471 470 469 468 467 466 465 464 463 462 461 460 459 458 457 456 455 454 453 452 451 450 449 448 447 446 445 444 443 442 441 440 439 438
BCD 437 436 435 434 433 432 431 430 429 428 427 426 425 424 423 422 421 420 419 418 417 416 415 414 413 412 411 410 409 408 407 406 405 404 403 402 401 400 399 398 397 396 395 394 393 392
MOTOROLA
MC145540
8-45
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 HEX BR4 87 86 85 84 83 82 81 80 7F 7E 7D 7C 7B 7A 79 78 77 76 75 74 73 72 71 70 6F 6E 6D 6C 6B 6A 69 68 67 66 65 64 63 62 61 60 5F 5E 5D 5C 5B 5A VOLTS RMS 0.2140 0.2135 0.2129 0.2124 0.2118 0.2113 0.2107 0.2102 0.2096 0.2091 0.2085 0.2080 0.2074 0.2069 0.2063 0.2058 0.2052 0.2047 0.2041 0.2036 0.2031 0.2025 0.2020 0.2014 0.2009 0.2003 0.1998 0.1992 0.1987 0.1981 0.1976 0.1970 0.1965 0.1959 0.1954 0.1948 0.1943 0.1937 0.1932 0.1927 0.1921 0.1916 0.1910 0.1905 0.1899 0.1894 dBm (600) -11.17 -11.20 -11.22 -11.24 -11.26 -11.29 -11.31 -11.33 -11.35 -11.38 -11.40 -11.42 -11.44 -11.47 -11.49 -11.51 -11.54 -11.56 -11.58 -11.61 -11.63 -11.65 -11.68 -11.70 -11.72 -11.75 -11.77 -11.79 -11.82 -11.84 -11.87 -11.89 -11.91 -11.94 -11.96 -11.99 -12.01 -12.04 -12.06 -12.09 -12.11 -12.14 -12.16 -12.19 -12.21 -12.24 HEX BR5 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 HEX BR4 59 58 57 56 55 54 53 52 51 50 4F 4E 4D 4C 4B 4A 49 48 47 46 45 44 43 42 41 40 3F 3E 3D 3C 3B 3A 39 38 37 36 35 34 33 32 31 30 2F 2E 2D 2C VOLTS RMS 0.1888 0.1883 0.1877 0.1872 0.1866 0.1861 0.1855 0.1850 0.1844 0.1839 0.1834 0.1828 0.1823 0.1817 0.1812 0.1806 0.1801 0.1795 0.1790 0.1784 0.1779 0.1773 0.1768 0.1762 0.1757 0.1751 0.1746 0.1740 0.1735 0.1730 0.1724 0.1719 0.1713 0.1708 0.1702 0.1697 0.1691 0.1686 0.1680 0.1675 0.1669 0.1664 0.1658 0.1653 0.1647 0.1642 dBm (600) -12.26 -12.29 -12.31 -12.34 -12.36 -12.39 -12.41 -12.44 -12.46 -12.49 -12.52 -12.54 -12.57 -12.59 -12.62 -12.65 -12.67 -12.70 -12.73 -12.75 -12.78 -12.81 -12.83 -12.86 -12.89 -12.91 -12.94 -12.97 -13.00 -13.02 -13.05 -13.08 -13.11 -13.13 -13.16 -13.19 -13.22 -13.25 -13.27 -13.30 -13.33 -13.36 -13.39 -13.42 -13.45 -13.47 HEX BR5 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 HEX BR4 2B 2A 29 28 27 26 25 24 23 22 21 20 1F 1E 1D 1C 1B 1A 19 18 17 16 15 14 13 12 11 10 0F 0E 0D 0C 0B 0A 09 08 07 06 05 04 03 02 01 00 FF FE VOLTS RMS 0.1636 0.1631 0.1626 0.1620 0.1615 0.1609 0.1604 0.1598 0.1593 0.1587 0.1582 0.1576 0.1571 0.1565 0.1560 0.1554 0.1549 0.1543 0.1538 0.1532 0.1527 0.1522 0.1516 0.1511 0.1505 0.1500 0.1494 0.1489 0.1483 0.1478 0.1472 0.1467 0.1461 0.1456 0.1450 0.1445 0.1439 0.1434 0.1428 0.1423 0.1418 0.1412 0.1407 0.1401 0.1396 0.1390 dBm (600) -13.50 -13.53 -13.56 -13.59 -13.62 -13.65 -13.68 -13.71 -13.74 -13.77 -13.80 -13.83 -13.86 -13.89 -13.92 -13.95 -13.98 -14.01 -14.04 -14.07 -14.10 -14.14 -14.17 -14.20 -14.23 -14.26 -14.29 -14.33 -14.36 -14.39 -14.42 -14.45 -14.49 -14.52 -14.55 -14.58 -14.62 -14.65 -14.68 -14.72 -14.75 -14.78 -14.82 -14.85 -14.89 -14.92
BCD 391 390 389 388 387 386 385 384 383 382 381 380 379 378 377 376 375 374 373 372 371 370 369 368 367 366 365 364 363 362 361 360 359 358 357 356 355 354 353 352 351 350 349 348 347 346
BCD 345 344 343 342 341 340 339 338 337 336 335 334 333 332 331 330 329 328 327 326 325 324 323 322 321 320 319 318 317 316 315 314 313 312 311 310 309 308 307 306 305 304 303 302 301 300
BCD 299 298 297 296 295 294 293 292 291 290 289 288 287 286 285 284 283 282 281 280 279 278 277 276 275 274 273 272 271 270 269 268 267 266 265 264 263 262 261 260 259 258 257 256 255 254
8-46
MC145540
MOTOROLA
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
HEX BR5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 HEX BR4 FD FC FB FA F9 F8 F7 F6 F5 F4 F3 F2 F1 F0 EF EE ED EC EB EA E9 E8 E7 E6 E5 E4 E3 E2 E1 E0 DF DE DD DC DB DA D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 VOLTS RMS 0.1385 0.1379 0.1374 0.1368 0.1363 0.1357 0.1352 0.1346 0.1341 0.1335 0.1330 0.1325 0.1319 0.1314 0.1308 0.1303 0.1297 0.1292 0.1286 0.1281 0.1275 0.1270 0.1264 0.1259 0.1253 0.1248 0.1242 0.1237 0.1231 0.1226 0.1221 0.1215 0.1210 0.1204 0.1199 0.1193 0.1188 0.1182 0.1177 0.1171 0.1166 0.1160 0.1155 0.1149 0.1144 0.1138 dBm (600) -14.95 -14.99 -15.02 -15.06 -15.09 -15.13 -15.16 -15.20 -15.23 -15.27 -15.30 -15.34 -15.38 -15.41 -15.45 -15.49 -15.52 -15.56 -15.60 -15.63 -15.67 -15.71 -15.74 -15.78 -15.82 -15.86 -15.90 -15.93 -15.97 -16.01 -16.05 -16.09 -16.13 -16.17 -16.21 -16.25 -16.29 -16.33 -16.37 -16.41 -16.45 -16.49 -16.53 -16.57 -16.61 -16.66 HEX BR5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 HEX BR4 CF CE CD CC CB CA C9 C8 C7 C6 C5 C4 C3 C2 C1 C0 BF BE BD BC BB BA B9 B8 B7 B6 B5 B4 B3 B2 B1 B0 AF AE AD AC AB AA A9 A8 A7 A6 A5 A4 A3 A2 VOLTS RMS 0.1133 0.1127 0.1122 0.1117 0.1111 0.1106 0.1100 0.1095 0.1089 0.1084 0.1078 0.1073 0.1067 0.1062 0.1056 0.1051 0.1045 0.1040 0.1034 0.1029 0.1023 0.1018 0.1013 0.1007 0.1002 0.0996 0.0991 0.0985 0.0980 0.0974 0.0969 0.0963 0.0958 0.0952 0.0947 0.0941 0.0936 0.0930 0.0925 0.0919 0.0914 0.0909 0.0903 0.0898 0.0892 0.0887 dBm (600) -16.70 -16.74 -16.78 -16.82 -16.87 -16.91 -16.95 -17.00 -17.04 -17.08 -17.13 -17.17 -17.22 -17.26 -17.31 -17.35 -17.40 -17.44 -17.49 -17.53 -17.58 -17.63 -17.67 -17.72 -17.77 -17.82 -17.86 -17.91 -17.96 -18.01 -18.06 -18.11 -18.16 -18.21 -18.26 -18.31 -18.36 -18.41 -18.46 -18.51 -18.56 -18.61 -18.67 -18.72 -18.77 -18.83 HEX BR5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 HEX BR4 A1 A0 9F 9E 9D 9C 9B 9A 99 98 97 96 95 94 93 92 91 90 8F 8E 8D 8C 8B 8A 89 88 87 86 85 84 83 82 81 80 7F 7E 7D 7C 7B 7A 79 78 77 76 75 74 VOLTS RMS 0.0881 0.0876 0.0870 0.0865 0.0859 0.0854 0.0848 0.0843 0.0837 0.0832 0.0826 0.0821 0.0815 0.0810 0.0805 0.0799 0.0794 0.0788 0.0783 0.0777 0.0772 0.0766 0.0761 0.0755 0.0750 0.0744 0.0739 0.0733 0.0728 0.0722 0.0717 0.0712 0.0706 0.0701 0.0695 0.0690 0.0684 0.0679 0.0673 0.0668 0.0662 0.0657 0.0651 0.0646 0.0640 0.0635 dBm (600) -18.88 -18.93 -18.99 -19.04 -19.10 -19.15 -19.21 -19.27 -19.32 -19.38 -19.44 -19.49 -19.55 -19.61 -19.67 -19.73 -19.79 -19.85 -19.91 -19.97 -20.03 -20.09 -20.16 -20.22 -20.28 -20.35 -20.41 -20.47 -20.54 -20.61 -20.67 -20.74 -20.80 -20.87 -20.94 -21.01 -21.08 -21.15 -21.22 -21.29 -21.36 -21.43 -21.51 -21.58 -21.65 -21.73
BCD 253 252 251 250 249 248 247 246 245 244 243 242 241 240 239 238 237 236 235 234 233 232 231 230 229 228 227 226 225 224 223 222 221 220 219 218 217 216 215 214 213 212 211 210 209 208
BCD 207 206 205 204 203 202 201 200 199 198 197 196 195 194 193 192 191 190 189 188 187 186 185 184 183 182 181 180 179 178 177 176 175 174 173 172 171 170 169 168 167 166 165 164 163 162
BCD 161 160 159 158 157 156 155 154 153 152 151 150 149 148 147 146 145 144 143 142 141 140 139 138 137 136 135 134 133 132 131 130 129 128 127 126 125 124 123 122 121 120 119 118 117 116
MOTOROLA
MC145540
8-47
Table 8-3. Attenuation Coefficients for Tone Generator (continued)
BCD 115 114 113 112 111 110 109 108 107 106 105 104 103 102 101 100 99 98 97 96 95 94 93 92 91 90 89 88 87 86 85 84 83 82 81 80 79 78 77 HEX BR5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 HEX BR4 73 72 71 70 6F 6E 6D 6C 6B 6A 69 68 67 66 65 64 63 62 61 60 5F 5E 5D 5C 5B 5A 59 58 57 56 55 54 53 52 51 50 4F 4E 4D VOLTS RMS 0.0629 0.0624 0.0618 0.0613 0.0608 0.0602 0.0597 0.0591 0.0586 0.0580 0.0575 0.0569 0.0564 0.0558 0.0553 0.0547 0.0542 0.0536 0.0531 0.0525 0.0520 0.0514 0.0509 0.0504 0.0498 0.0493 0.0487 0.0482 0.0476 0.0471 0.0465 0.0460 0.0454 0.0449 0.0443 0.0438 0.0432 0.0427 0.0421 dBm (600) -21.80 -21.88 -21.96 -22.03 -22.11 -22.19 -22.27 -22.35 -22.43 -22.51 -22.59 -22.68 -22.76 -22.84 -22.93 -23.02 -23.10 -23.19 -23.28 -23.37 -23.46 -23.55 -23.65 -23.74 -23.84 -23.93 -24.03 -24.13 -24.23 -24.33 -24.43 -24.53 -24.64 -24.74 -24.85 -24.95 -25.06 -25.17 -25.29 BCD 76 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 45 44 43 42 41 40 39 38 HEX BR5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 HEX BR4 4C 4B 4A 49 48 47 46 45 44 43 42 41 40 3F 3E 3D 3C 3B 3A 39 38 37 36 35 34 33 32 31 30 2F 2E 2D 2C 2B 2A 29 28 27 26 VOLTS RMS 0.0416 0.0410 0.0405 0.0400 0.0394 0.0389 0.0383 0.0378 0.0372 0.0367 0.0361 0.0356 0.0350 0.0345 0.0339 0.0334 0.0328 0.0323 0.0317 0.0312 0.0306 0.0301 0.0296 0.0290 0.0285 0.0279 0.0274 0.0268 0.0263 0.0257 0.0252 0.0246 0.0241 0.0235 0.0230 0.0224 0.0219 0.0213 0.0208 dBm (600) -25.40 -25.52 -25.63 -25.75 -25.87 -25.99 -26.11 -26.24 -26.37 -26.50 -26.63 -26.76 -26.89 -27.03 -27.17 -27.31 -27.45 -27.60 -27.75 -27.90 -28.05 -28.21 -28.37 -28.53 -28.70 -28.87 -29.04 -29.21 -29.39 -29.57 -29.76 -29.95 -30.15 -30.35 -30.55 -30.76 -30.98 -31.20 -31.42 BCD 37 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 HEX BR5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 HEX BR4 25 24 23 22 21 20 1F 1E 1D 1C 1B 1A 19 18 17 16 15 14 13 12 11 10 0F 0E 0D 0C 0B 0A 09 08 07 06 05 04 03 02 01 00 VOLTS RMS 0.0203 0.0197 0.0192 0.0186 0.0181 0.0175 0.0170 0.0164 0.0159 0.0153 0.0148 0.0142 0.0137 0.0131 0.0126 0.0120 0.0115 0.0109 0.0104 0.0099 0.0093 0.0088 0.0082 0.0077 0.0071 0.0066 0.0060 0.0055 0.0049 0.0044 0.0038 0.0033 0.0027 0.0022 0.0016 0.0011 0.0005 0.0000 dBm (600) -31.65 -31.89 -32.14 -32.39 -32.65 -32.91 -33.19 -33.47 -33.77 -34.07 -34.39 -34.72 -35.06 -35.41 -35.78 -36.17 -36.57 -37.00 -37.44 -37.91 -38.41 -38.93 -39.49 -40.09 -40.74 -41.43 -42.19 -43.02 -43.93 -44.95 -46.11 -47.45 -49.04 -50.98 -53.47 -57.00 -63.02 -
8-48
MC145540
MOTOROLA
NOTES
NOTES
NOTES
NOTES
10/1/93
MOTOROLA DISTRIBUTOR AND WORLDWIDE SALES OFFICES
AUTHORIZED NORTH AMERICAN DISTRIBUTORS
UNITED STATES
ALABAMA Huntsville
Arrow/Schweber Electronics . (205)837-6955 Future Electronics . . . . . . . . . (205)830-2322 Hall-Mark Electronics . . . . . . (205)837-8700 Hall-Mark Electronics . . . . . . (205)837-8700 Newark . . . . . . . . . . . . . . . . . . (205)837-9091 Time Electronics . . . . . . . . . . . (205)721-1133
Sunnyvale
Hamilton/Avnet Electronics . . (408)743-3300 Time Electronics . . . . . . . . . . (408)734-9888
Duluth
Arrow/Schweber Electronics . (404)497-1300 Hall-Mark Electronics . . . . . . (404)623-4400 Hamilton/Avnet Electronics . . . (404)446-0611
Torrance
Time Electronics . . . . . . . . . . (310)320-0880
Norcross
Future Electronics . . . . . . . . . (404)441-7676 Newark . . . . . . . . . . . . . . . . . . (404)448-1300 Time Electronics . . . . . . . . . . (404)368-0969
Tustin
Time Electronics . . . . . . . . . . (714)669-0100
West Hills
Newark . . . . . . . . . . . . . . . . . . (818)888-3718
Woodland Hills
Hamilton/Avnet Electronics . . (818)594-0404 Richardson Electronics . . . . (615)594-5600
ILLINOIS Bensenville
Hamilton/Avnet Electronics . . (708)860-7700
Arizona Chandler
Hamilton/Avnet Electronics . . (602)961-0836
Chicago
Newark Electronics Corp. . . (312)784-5100
Phoenix
Future Electronics . . . . . . . . . Hall-Mark Electronics . . . . . . Newark Electronics . . . . . . . . Wyle Laboratories . . . . . . . . . (602)968-7140 (602)437-1200 (602)864-9905 (602)437-2088
COLORADO Broomfield
Future Electronics . . . . . . . . . (303)421-0123
Hoffman Estates
Future Electronics . . . . . . . . . (708)882-1255
Colorado Springs
Newark . . . . . . . . . . . . . . . . . . (719)592-9494
Itasca
Arrow/Schweber Electronics (708)250-0500
Denver
Newark . . . . . . . . . . . . . . . . . . (303)757-3351
LaFox
Richardson Electronics . . . . (708)208-2401
Tempe
Arrow/Schweber Electronics . (602)431-0030 Time Electronics . . . . . . . . . . (602)967-2000
Englewood
Arrow/Schweber Electronics . Hall-Mark Electronics . . . . . . Hamilton/Avnet Electronics . . Time Electronics . . . . . . . . . . (303)799-0258 (303)790-1662 (303)740-1000 (303)721-8882
Schaumburg
Newark . . . . . . . . . . . . . . . . . . (708)310-8980 Time Electronics . . . . . . . . . . (708)303-3000
CALIFORNIA Agoura Hills
Time Electronics Corporate . . (818)707-2890
Wooddale
Hall-Mark Electronics . . . . . . (708)860-3800
Thornton
Wyle Laboratories . . . . . . . . . (303)457-9953
Belmont
Richardson Electronics . . . . (415)592-9225
INDIANA Indianapolis
Arrow/Schweber Electronics . Hall-Mark Electronics . . . . . . Hamilton/Avnet Electronics . . Newark . . . . . . . . . . . . . . . . . . Time Electronics . . . . . . . . . . (317)299-2071 (317)872-8875 (317)844-9333 (317)259-0085 (708)303-3000
Calabassas
Arrow/Schweber Electronics . (818)880-9686 Wyle Laboratories . . . . . . . . . (818)880-9000
CONNECTICUT Bethel
Future Electronics . . . . . . . . . (203)743-9594
Cheshire
Hall-Mark Electronics . . . . . . (203)271-2844
Chatsworth
Future Electronics . . . . . . . . . (818)772-6240 Hall-Mark Electronics . . . . . . (818)773-4500 Time Electronics . . . . . . . . . . (818)998-7200
Danbury
Hamilton/Avnet Electronics . . (203)743-6077
Ft. Wayne
Newark . . . . . . . . . . . . . . . . . . (219)484-0766
Southbury
Time Electronics . . . . . . . . . . (203)271-3200
Costa Mesa
Hamilton/Avnet Electronics . . (714)754-6092
Wallingfort
Arrow/Schweber Electronics . (203)265-7741
IOWA Cedar Rapids
Hamilton/Avnet Electronics . . (319)362-4757 Newark . . . . . . . . . . . . . . . . . . (319)393-3800 Time Electronics . . . . . . . . . . (314)391-6444
Culver City
Hamilton/Avnet Corporate . . (213)558-2000
Windsor
Newark . . . . . . . . . . . . . . . . . . (203)683-8860
Gardena
Hamilton/Avnet Electronics . . (213)516-6498
Irvine
Arrow/Schweber Electronics Future Electronics . . . . . . . . . Hall-Mark Electronics . . . . . . Wyle Laboratories Corporate . Wyle Laboratories . . . . . . . . . (714)587-0404 (714)250-4141 (714)727-6000 (714)753-9953 (714)863-9953
FLORIDA Altamonte Springs
Future Electronics . . . . . . . . . (407)767-8414
KANSAS Lenexa
Arrow/Schweber Electronics . (913)541-9542 Hall-Mark Electronics . . . . . . (913)888-4747
Casselberry
Hall-Mark Electronics . . . . . . (407)830-5855
Overland Park
Hamilton/Avnet Electronics . . (913)888-8900 Newark . . . . . . . . . . . . . . . . . . (913)677-0727 Time Electronics . . . . . . . . . . (314)391-6444
Clearwater
Future Electronics . . . . . . . . . (813)530-1222 Hall-Mark Electronics . . . . . . (813)541-7440
Mountain View
Richardson Electronics . . . . (415)960-6900
Orange
Newark . . . . . . . . . . . . . . . . . . (714)634-8224
Deerfield Beach
Arrow/Schweber Electronics . (305)429-8200
MARYLAND Beltsville
Newark . . . . . . . . . . . . . . . . . . (301)604-1700
Rocklin
Hall-Mark Electronics . . . . . . (916)624-9781
Ft. Lauderdale
Hamilton/Avnet Electronics . . (305)767-6377 Time Electronics . . . . . . . . . . (305)484-1778
Columbia
Arrow/Schweber Electronics . Future Electronics . . . . . . . . . Hall-Mark Electronics . . . . . . Hamilton/Avnet Electronics . . Time Electronics . . . . . . . . . . (301)596-7800 (301)290-0600 (301)988-9800 (301)995-3500 (301)964-3090
Sacramento
Hamilton/Avnet Electronics . . (916)925-2216 Newark . . . . . . . . . . . . . . . . . . (916)721-1633 Wyle Laboratories . . . . . . . . . (916)638-5282
Lake Mary
Arrow/Schweber Electronics . (407)333-9300
Orlando
Hamilton/Avnet Electronics . . (407)628-3888 Newark . . . . . . . . . . . . . . . . . . (407)896-8350 Time Electronics . . . . . . . . . . (407)841-6565
San Diego
Arrow/Schweber Electronics Future Electronics . . . . . . . . . Hall-Mark Electronics . . . . . . Hamilton/Avnet Electronics . . Newark . . . . . . . . . . . . . . . . . . Wyle Laboratories . . . . . . . . . (619)565-4800 (619)278-5020 (619)268-1201 (619)571-8730 (619)569-9877 (619)565-9171
MASSACHUSETTS Billerica
Hall-Mark Electronics . . . . . . (508)667-0902
Plantation
Newark . . . . . . . . . . . . . . . . . . (305)424-4400
Boston
Arrow/Schweber Electronics . (508)658-0900 Hamilton/Avnet Electronics . . (508)531-7430
Pompano Beach
Hall-Mark Electronics . . . . . . (305)971-9280
San Francisco
Newark . . . . . . . . . . . . . . . . . . (415)571-5300
Tampa/St. Petersburg
Hamilton/Avnet Electronics . . (813)573-3930 Newark . . . . . . . . . . . . . . . . . . (813)287-1578 Time Electronics . . . . . . . . . . (407)841-6565
Bolton
Future Corporate . . . . . . . . . . (508)779-3000
San Jose
Arrow/Schweber Electronics . (408)441-9700 Arrow/Schweber Electronics . (408)428-6400 Future Electronics . . . . . . . . . . (408)434-1122 Hall-Mark Electronics . . . . . . (408)432-4000
Burlington
Wyle Laboratories . . . . . . . . . (617)272-7300
Winter Park
Richardson Electronics . . . . (407)644-1453
Methuen
Newark . . . . . . . . . . . . . . . . . . (508)683-0913
Santa Clara
Wyle Laboratories . . . . . . . . . (408)727-2500
GEORGIA Atlanta
Time Electronics . . . . . . . . . . (404)351-3545
Norwell
Richardson Electronics . . . . (617)871-5162
Peabody
Time Electronics . . . . . . . . . . (508)532-9900
10/1/93
AUTHORIZED DISTRIBUTORS - continued
UNITED STATES - continued
MICHIGAN Detroit
Newark . . . . . . . . . . . . . . . . . . (313)967-0600 Future Electronics . . . . . . . . . . (716)272-1120 Hall-Mark Electronics . . . . . . (716)425-3300 Hamilton/Avnet Electronics . . (716)292-0730 Richardson Electronics . . . . . (716)264-1100 Time Electronics . . . . . . . . . . (315)432-0355 Newark . . . . . . . . . . . . . . . . . . (412)788-4790 Time Electronics . . . . . . . . . . (614)794-3301
Grand Rapids
Hamilton/Avnet Electronics . . (616)243-8805
TENNESSEE Franklin
Richardson Electronics . . . . (615)791-4900
Rockville Centre
Richardson Electronics . . . . (516)872-4400
Livonia
Arrow/Schweber Electronics . Future Electronics . . . . . . . . . Hall-Mark Electronics . . . . . . Hamilton/Avnet Electronics . . Time Electronics . . . . . . . . . . (313)462-2290 (313)261-5270 (313)462-1205 (313)347-4270 (614)794-3301
Knoxville
Newark . . . . . . . . . . . . . . . . . . (615)588-6493
Syracuse
Hamilton/Avnet Electronics . . (315)437-2641 Time Electronics . . . . . . . . . . (315)432-0355
NORTH CAROLINA Charlotte
Future Electronics . . . . . . . . . (704)455-9030 Richardson Electronics . . . . (704)548-9042
TEXAS Austin
Arrow/Schweber Electronics . Hall-Mark Electronics . . . . . . Hamilton/Avnet Electronics . . Newark . . . . . . . . . . . . . . . . . . Time Electronics . . . . . . . . . . Wyle Laboratories . . . . . . . . . (512)835-4180 (512)258-8848 (512)832-4306 (512)338-0287 (512)346-7346 (512)345-8853
MINNESOTA Eden Prairie
Arrow/Schweber Electronics . Future Electronics . . . . . . . . . Hall-Mark Electronics . . . . . . Time Electronics . . . . . . . . . . (612)941-5280 (612)944-2200 (612)881-2600 (612)943-2433
Greensboro
Newark . . . . . . . . . . . . . . . . . . (919)292-7240
Raleigh
Arrow/Schweber Electronics . (919)876-3132 Future Electronics . . . . . . . . . . (919)790-7111 Hall-Mark Electronics . . . . . . (919)872-0712 Hamilton/Avnet Electronics . . (919)878-0810 Time Electronics . . . . . . . . . . (919)693-5166
Carollton
Arrow/Schweber Electronics . (214)380-6464
Minneapolis
Hamilton/Avnet Electronics . . (612)932-0600 Newark . . . . . . . . . . . . . . . . . . (612)331-6350
Dallas
Future Electronics . . . . . . . . . Hall-Mark Corporate . . . . . . . Hall-Mark Electronics . . . . . . Hamilton/Avnet Electronics . . Richardson Electronics . . . . Time Electronics . . . . . . . . . . Wyle Laboratories . . . . . . . . . (214)437-2437 (214)343-5000 (214)553-4300 (214)308-8140 (214)239-3680 (214)644-4644 (214)235-9953
MISSOURI Earth City
Hall-Mark Electronics . . . . . . (314)291-5350 Hamilton/Avnet Electronics . . (314)537-1600
OHIO Centerville
Arrow/Schweber Electronics . (513)435-5563
St. Louis
Arrow/Schweber Electronics . Future Electronics . . . . . . . . . Newark . . . . . . . . . . . . . . . . . . Time Electronics . . . . . . . . . . (314)567-6888 (314)469-6805 (314)298-2505 (314)391-6444
Cleveland
Hall-Mark Electronics . . . . . . Hamilton/Avnet Electronics . . Newark . . . . . . . . . . . . . . . . . . Time Electronics . . . . . . . . . . (216)349-4632 (216)349-5100 (216)391-9330 (614)794-3301
Ft. Worth
Allied Electronics . . . . . . . . . . (817)336-5401
Houston
Arrow/Schweber Electronics . Future Electronics . . . . . . . . . Hall-Mark Electronics . . . . . . Hamilton/Avnet Electronics . . Newark . . . . . . . . . . . . . . . . . . Time Electronics . . . . . . . . . . Wyle Laboratories . . . . . . . . . (713)530-4700 (713)556-8696 (713)781-6100 (713)240-7898 (713)270-4800 (713)530-0800 (713)879-9953
Columbus
Hamilton/Avnet Electronics . . (614)882-7004 Newark . . . . . . . . . . . . . . . . . . (614)431-0809 Time Electronics . . . . . . . . . . (614)794-3301
NEW HAMPSHIRE Manchester
Hamilton/Avnet Electronics . . (603)624-9400
NEW JERSEY Cherry Hill
Hamilton/Avnet Electronics . . (609)424-0100
Dayton
Hamilton/Avnet Electronics . . (513)439-6700 Newark . . . . . . . . . . . . . . . . . . (513)294-8980 Time Electronics . . . . . . . . . . (614)794-3301
Richardson
Newark . . . . . . . . . . . . . . . . . . (214)235-1998
Fairfield
Future Electronics . . . . . . . . . (201)299-0400 Newark . . . . . . . . . . . . . . . . . . (201)882-0300
Mayfield Heights
Future Electronics . . . . . . . . . (216)449-6996
Marlton
Arrow/Schweber Electronics . (609)596-8000 Future Electronics . . . . . . . . . (609)778-7600
Solon
Arrow/Schweber Electronics . (216)248-3990
UTAH Salt Lake City
Arrow/Schweber Electronics . Future Electronics . . . . . . . . . Hamilton/Avnet Electronics . . Newark . . . . . . . . . . . . . . . . . . (801)973-6913 (801)972-8489 (801)972-2800 (801)261-5660
Toledo
Hamilton/Avnet Electronics . . (419)242-6610
Mount Laurel
Hall-Mark Electronics . . . . . . (609)235-1900
Worthington
Hall-Mark Electronics . . . . . . (614)888-3313
West Valley City
Hall-Mark Electronics . . . . . . (801)972-1008 Time Electronics . . . . . . . . . . (801)973-8494 Wyle Laboratories . . . . . . . . . (801)974-9953
Pinebrook
Arrow/Schweber Electronics . (201)227-7880
Parsippany
Hall-Mark Electronics . . . . . . (201)515-3000 Hamilton/Avnet Electronics . . (201)575-3390
OKLAHOMA Tulsa
Hall-Mark Electronics . . . . . . . (918)254-6110 Hamilton/Avnet Electronics . . (918)252-7297 Newark . . . . . . . . . . . . . . . . . . (918)252-5070
Wayne
Time Electronics . . . . . . . . . . (201)785-8250
WASHINGTON Bellevue
Almac Electronics Corp. . . . Future Electronics . . . . . . . . . Hall-Mark Electronics . . . . . . Newark . . . . . . . . . . . . . . . . . . Richardson Electronics . . . . (206)643-9992 (206)881-8199 (206)547-0415 (206)641-9800 (206)646-7224
NEW MEXICO Albuquerque
Alliance Electronics . . . . . . . (505)292-3360 Hamilton/Avnet Electronics . . (505)345-0001 Newark . . . . . . . . . . . . . . . . . . (505)828-1878
OREGON Beaverton
Arrow/Almac Electronics Corp. (503)629-8090 Future Electronics . . . . . . . . . (503)645-9454 Wyle Laboratories . . . . . . . . . (503)643-7900
Redmond
Hamilton/Avnet Electronics . . (206)241-8555 Time Electronics . . . . . . . . . . (206)820-1525 Wyle Laboratories . . . . . . . . . . (206)881-1150
Portland
Hamilton/Avnet Electronics . . (503)627-0201 Newark . . . . . . . . . . . . . . . . . . (503)297-1984 Time Electronics . . . . . . . . . . (503)626-2979
NEW YORK Commack
Newark . . . . . . . . . . . . . . . . . . (516)499-1216
Spokane
Arrow/Almac Electronics Corp. (509)924-9500
Fairport
Hall-Mark Electronics . . . . . . (716)425-3300
Hauppauge
Arrow/Schweber Electronics . Future Electronics . . . . . . . . . Hall-Mark Electronics . . . . . . Hamilton/Avnet Electronics . . (516)231-1000 (516)234-4000 (516)737-0600 (516)231-9800
PENNSYLVANIA Erie
Hamilton/Avnet Electronics . . (814)455-6767
WISCONSIN Brookfield
Arrow/Schweber Electronics . (414)792-0150
King of Prussia
Newark . . . . . . . . . . . . . . . . . . (215)265-0933
Milwaukee
Time Electronics . . . . . . . . . . (708)303-3000
Montgomeryville
Richardson Electronics . . . . (215)628-0805
New Berlin
Hall-Mark Electronics . . . . . . (414)797-7844 Hamilton/Avnet Electronics . . (414)784-4510
Liverpool
Future Electronics . . . . . . . . . (315)451-2371
Philadelphia
Hall-Mark Electronics . . . . . . (215)355-7300 Time Electronics . . . . . . . . . . (609)596-6700
Pittsford
Newark . . . . . . . . . . . . . . . . . . (716)381-4244
Waukesha
Future Electronics . . . . . . . . . (414)786-1884
Pittsburgh
Arrow/Schweber Electronics . (412)963-6807 Hamilton/Avnet Electronics . . (412)281-4150
Rochester
Arrow/Schweber Electronics . (716)427-0300
Wauwatosa
Newark . . . . . . . . . . . . . . . . . . (414)453-9100
10/1/93
AUTHORIZED DISTRIBUTORS - continued
CANADA
ALBERTA Calgary
Electro Sonic Inc. . . . . . . . . (403)255-9550 Future Electronics . . . . . . . . . (403)250-5550 Hamilton/Avnet Electronics . . (800)663-5500 Hamilton/Avnet Electronics . . (604)420-4101 Newark . . . . . . . . . . . . . . . . . . (800)463-9275 Electro Sonic Inc. . . . . . . . . . Future Electronics . . . . . . . . . Hamilton/Avnet Electronics . . Newark . . . . . . . . . . . . . . . . . . Richardson Electronics . . . . (416)494-1666 (416)612-9200 (416)564-6060 (800)463-9275 (800)348-5530
MANITOBA Winnipeg
Electro Sonic Inc. . . . . . . . . (204)783-3105 Future Electronics . . . . . . . . . . (204)786-7711 Hamilton/Avnet Electronics . . (800)663-5500
QUEBEC Montreal
Arrow Electronics . . . . . . . . . . (514)421-7411 Future Electronics . . . . . . . . . (514)694-7710 Hamilton/Avnet Electronics . . (514)335-1000 Newark . . . . . . . . . . . . . . . . . . (800)463-9275 Richardson Electronics . . . . (800)348-5530
Edmonton
Future Electronics . . . . . . . . . (403)438-2858 Hamilton/Avnet Electronics . (800)663-5500
ONTARIO Ottawa
Arrow Electronics . . . . . . . . . Electro Sonic Inc. . . . . . . . . . Future Electronics . . . . . . . . . Hamilton/Avnet Electronics . . (613)226-6903 (613)728-8333 (613)820-8313 (613)226-1700
BRITISH COLUMBIA Vancouver
Arrow Electronics . . . . . . . . . (604)421-2333 Electro Sonic Inc. . . . . . . . . . . (604)273-2911 Future Electronics . . . . . . . . . . (604)294-1166
Toronto
Arrow Electronics . . . . . . . . . (416)670-7769
Quebec City
Future Electronics . . . . . . . . . (418)877-6666
SALES OFFICES
UNITED STATES
ALABAMA, Huntsville . . . . . . . . (205)464-6800 ARIZONA, Tempe . . . . . . . . . . . . (602)897-5056 CALIFORNIA, Agoura Hills . . . . (818)706-1929 CALIFORNIA, Los Angeles . . . . (310)417-8848 CALIFORNIA, Irvine . . . . . . . . . . (714)753-7360 CALIFORNIA, Roseville . . . . . . . (916)922-7152 CALIFORNIA, San Diego . . . . . (619)541-2163 CALIFORNIA, Sunnyvale . . . . . (408)749-0510 COLORADO, Colorado Springs . (719)599-7497 COLORADO, Denver . . . . . . . . . (303)337-3434 CONNECTICUT, Wallingford . . . (203)949-4100 FLORIDA, Maitland . . . . . . . . . . . (407)628-2636 FLORIDA, Pompano Beach/ Ft. Lauderdale . . . . . . . . . . . . . . (305)486-9776 FLORIDA, Clearwater . . . . . . . . . (813)538-7750 GEORGIA, Atlanta . . . . . . . . . . . (404)729-7100 IDAHO, Boise . . . . . . . . . . . . . . . . (208)323-9413 ILLINOIS, Chicago/ Hoffman Estates . . . . . . . . . . . . (708)490-9500 INDIANA, Fort Wayne . . . . . . . . (219)436-5818 INDIANA, Indianapolis . . . . . . . . (317)571-0400 INDIANA, Kokomo . . . . . . . . . . . (317)457-6634 IOWA, Cedar Rapids . . . . . . . . . . (319)373-1328 KANSAS, Kansas City/Mission . (913)451-8555 MARYLAND, Columbia . . . . . . . (410)381-1570 MASSACHUSETTS, Marlborough (508)481-8100 MASSACHUSETTS, Woburn . . (617)932-9700 MICHIGAN, Detroit . . . . . . . . . . . (313)347-6800 MINNESOTA, Minnetonka . . . . . (612)932-1500 MISSOURI, St. Louis . . . . . . . . . (314)275-7380 NEW JERSEY, Fairfield . . . . . . . (201)808-2400 NEW YORK, Fairport . . . . . . . . . (716)425-4000 NEW YORK, Hauppauge . . . . . . (516)361-7000 NEW YORK, Poughkeepsie/ Fishkill . . . . . . . . . . . . . . . . . . . . . (914)896-0511 NORTH CAROLINA, Raleigh . . (919)870-4355 OHIO, Cleveland . . . . . . . . . . . . . (216)349-3100 OHIO, Columbus/Worthington . . (614)431-8492 OHIO, Dayton . . . . . . . . . . . . . . . . (513)495-6800 OKLAHOMA, Tulsa . . . . . . . . . . (800)544-9496 OREGON, Portland . . . . . . . . . . . (503)641-3681 PENNSYLVANIA, Colmar . . . . . (215)997-1020 Philadelphia/Horsham . . . . . . . (215)957-4100 TENNESSEE, Knoxville . . . . . . . (615)690-5593 TEXAS, Austin . . . . . . . . . . . . . . . TEXAS, Houston . . . . . . . . . . . . . TEXAS, Plano . . . . . . . . . . . . . . . VIRGINIA, Richmond . . . . . . . . . WASHINGTON, Bellevue . . . . . . Seattle Access . . . . . . . . . . . . . WISCONSIN, Milwaukee/ Brookfield . . . . . . . . . . . . . . . . . . (512)873-2000 (800)343-2692 (214)516-5100 (804)285-2100 (206)454-4160 (206)622-9960 (414)792-0122 JAPAN, Tokyo . . . . . . . . . . . . . 81(03)3440-3311 JAPAN, Yokohama . . . . . . . . . 81(045)472-2751 KOREA, Pusan . . . . . . . . . . . . . 82(51)4635-035 KOREA, Seoul . . . . . . . . . . . . . . . . 82(2)554-5118 MALAYSIA, Penang . . . . . . . . . . . . 60(4)374514 MEXICO, Mexico City . . . . . . . . . 52(5)282-2864 MEXICO, Guadalajara . . . . . . . . 52(36)21-8977 Marketing . . . . . . . . . . . . . . . . . . 52(36)21-9023 Customer Service . . . . . . . . . . 52(36)669-9160 NETHERLANDS, Best . . . . . . . (31)4998 612 11 PUERTO RICO, San Juan . . . . . (809)793-2170 SINGAPORE . . . . . . . . . . . . . . . . . . (65)2945438 SPAIN, Madrid . . . . . . . . . . . . . . . 34(1)457-8204 or . . . . . . . . . . . . . . . . . . . . . . . . . 34(1)457-8254 SWEDEN, Solna . . . . . . . . . . . . . 46(8)734-8800 SWITZERLAND, Geneva . . . . . 41(22)799 11 11 SWITZERLAND, Zurich . . . . . . . 41(1)730-4074 TAIWAN, Taipei . . . . . . . . . . . . . 886(2)717-7089 THAILAND, Bangkok . . . . . . . . . (66-2)254-4910 UNITED KINGDOM, Aylesbury . . 44(296)395-252
Field Applications Engineering Available Through All Sales Offices
CANADA
BRITISH COLUMBIA, Vancouver . (604)293-7650 ONTARIO, Toronto . . . . . . . . . . . (416)497-8181 ONTARIO, Ottawa . . . . . . . . . . . . (613)226-3491 QUEBEC, Montreal . . . . . . . . . . . (514)731-6881
INTERNATIONAL
AUSTRALIA, Melbourne . . . . . . (61-3)887-0711 AUSTRALIA, Sydney . . . . . . . . . 61(2)906-3855 BRAZIL, Sao Paulo . . . . . . . . . . 55(11)815-4200 CHINA, Beijing . . . . . . . . . . . . . . . . . 86-505-2180 FINLAND, Helsinki . . . . . . . . . 358-0-351 61191 car phone . . . . . . . . . . . . . . . . . . 358(49)211501 FRANCE, Paris/Vanves . . . . . . 33(1)40 955 900 GERMANY, Langenhagen/ Hannover . . . . . . . . . . . . . . . . . . 49(511)789911 GERMANY, Munich . . . . . . . . . . . . 49 89 92103-0 GERMANY, Nurenberg . . . . . . . . 49 911 64-3044 GERMANY, Sindelfingen . . . . . . 49 7031 69 910 GERMANY, Wiesbaden . . . . . . . 49 611 761921 HONG KONG, Kwai Fong . . . . . . . 852-4808333 Tai Po . . . . . . . . . . . . . . . . . . . . . . . 852-6668333 INDIA, Bangalore . . . . . . . . . . . (91-812)627094 ISRAEL, Tel Aviv . . . . . . . . . . . . 972(3)753-8222 ITALY, Milan . . . . . . . . . . . . . . . . . . . . 39(2)82201 JAPAN, Aizu . . . . . . . . . . . . . . . . 81(241)272231 JAPAN, Atsugi . . . . . . . . . . . . . 81(0462)23-0761 JAPAN, Kumagaya . . . . . . . . . 81(0485)26-2600 JAPAN, Kyushu . . . . . . . . . . . . 81(092)771-4212 JAPAN, Mito . . . . . . . . . . . . . . . 81(0292)26-2340 JAPAN, Nagoya . . . . . . . . . . . 81(052)232-1621 JAPAN, Osaka . . . . . . . . . . . . . . 81(06)305-1801 JAPAN, Sendai . . . . . . . . . . . . . 81(22)268-4333 JAPAN, Tachikawa . . . . . . . . . 81(0425)23-6700
FULL LINE REPRESENTATIVES
CALIFORNIA, Loomis Galena Technology Group . . . COLORADO, Grand Junction Cheryl Lee Whitely . . . . . . . . . . KANSAS, Wichita Melinda Shores/Kelly Greiving . NEVADA, Reno Galena Technology Group . . . NEW MEXICO, Albuquerque S&S Technologies, Inc. . . . . . . UTAH, Salt Lake City Utah Component Sales, inc. . . WASHINGTON, Spokane Doug Kenley . . . . . . . . . . . . . . . ARGENTINA, Buenos Aires Argonics, S.A. . . . . . . . . . . . . . . (916)652-0268 (303)243-9658 (316)838-0190 (702)746-0642 (505)298-7177 (801)561-5099 (509)924-2322 (541)343-1787
HYBRID/MCM COMPONENT SUPPLIERS
Chip Supply . . . . . . . . . . . . . . . . . Elmo Semiconductor . . . . . . . . . . Minco Technology Labs Inc. . . . . Semi Dice Inc. . . . . . . . . . . . . . . . (407)298-7100 (818)768-7400 (512)834-2022 (310)594-4631
This page intentionally left blank.
This page intentionally left blank.
Motorola reserves the right to make changes without further notice to any products herein. Motorola makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does Motorola assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. "Typical" parameters which may be provided in Motorola data sheets and/or specifications can and do vary in different applications and actual performance may vary over time. All operating parameters, including "Typicals" must be validated for each customer application by customer's technical experts. Motorola does not convey any license under its patent rights nor the rights of others. Motorola products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the Motorola product could create a situation where personal injury or death may occur. Should Buyer purchase or use Motorola products for any such unintended or unauthorized application, Buyer shall indemnify and hold Motorola and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that Motorola was negligent regarding the design or manufacture of the part. Motorola and are registered trademarks of Motorola, Inc. Motorola, Inc. is an Equal Opportunity/Affirmative Action Employer.
Mfax is a trademark of Motorola, Inc. How to reach us: USA / EUROPE / Locations Not Listed: Motorola Literature Distribution; P.O. Box 5405, Denver, Colorado 80217. 1-303-675-2140 or 1-800-441-2447 MfaxTM: RMFAX0@email.sps.mot.com - TOUCHTONE 1-602-244-6609 Motorola Fax Back System - US & Canada ONLY 1-800-774-1848 - http://sps.motorola.com/mfax/ HOME PAGE: http://motorola.com/sps/ JAPAN: Nippon Motorola Ltd.: SPD, Strategic Planning Office, 141, 4-32-1 Nishi-Gotanda, Shagawa-ku, Tokyo, Japan. 03-5487-8488 ASIA/PACIFIC: Motorola Semiconductors H.K. Ltd.; 8B Tai Ping Industrial Park, 51 Ting Kok Road, Tai Po, N.T., Hong Kong. 852-26629298 CUSTOMER FOCUS CENTER: 1-800-521-6274
MC145540/D


▲Up To Search▲   

 
Price & Availability of MC145540

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X